(LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

171 19 0
(LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC VÀ ĐÀO TẠO BỘ KHOA HỌC VÀ CÔNG NGHỆ VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM ĐẶNG LÀNH NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THUẬT DSP QUA ỨNG DỤNG FPGA PHỤC VỤ NGHIÊN CỨU VẬT LÝ HẠT NHÂN THỰC NGHIỆM LUẬN ÁN TIẾN SĨ VẬT LÝ ĐÀ LẠT, 2013 download by : skknchat@gmail.com BỘ GIÁO DỤC VÀ ĐÀO TẠO BỘ KHOA HỌC VÀ CÔNG NGHỆ VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM Đặng Lành NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THUẬT DSP QUA ỨNG DỤNG FPGA PHỤC VỤ NGHIÊN CỨU VẬT LÝ HẠT NHÂN THỰC NGHIỆM Chuyên ngành: Vật lý Nguyên tử Mã số: 62.44.01.06 LUẬN ÁN TIẾN SĨ VẬT LÝ Người hướng dẫn khoa học: PGS TS Nguyễn Nhị Điền Đà Lạt, 2013 download by : skknchat@gmail.com i LỜI CAM ĐOAN Tôi xin cam đoan cơng trình nghiên cứu chủ yếu thực hướng dẫn khoa học PGS TS Nguyễn Nhị Điền Bên cạnh đó, tơi cịn nhận ñược tham gia hỗ trợ ñắc lực đồng nghiệp nhóm nghiên cứu Các số liệu thực nghiệm kết nghiên cứu nêu luận án chủ yếu tổng hợp từ cơng trình nghiên cứu đăng tải tạp chí, kỷ yếu hội nghị khoa họccông nghệ không chép từ cơng trình Tác giả download by : skknchat@gmail.com ii LỜI CÁM ƠN Để hoàn thành luận án tơi nhận giúp đỡ nhiều người Trước hết, tơi xin bày tỏ lịng biết ơn sâu sắc đến PGS TS Nguyễn Nhị Điền, Phó Viện trưởng Viện Năng lượng nguyên tử Việt Nam việc Thầy định hướng đề tài khoa học, bình duyệt kết nghiên cứu, tận tình hướng dẫn hết lịng giúp đỡ tơi suốt tiến trình thực luận án Xin chân thành cám ơn PGS TS Nguyễn Đức Hòa, Hiệu trưởng Trường Đại học Đà Lạt việc Thầy truyền đạt cho tơi kiến thức, kinh nghiệm quý báu hỗ trợ trình nghiên cứu Xin chân thành cám ơn TS Phạm Đình Khang, Giám đốc Trung tâm Đào tạo hạt nhân, Viện Năng lượng nguyên tử Việt Nam việc gợi ý nghiên cứu liên quan ñến hướng phục vụ thực nghiệm vật lý hạt nhân, ln tạo điều kiện thuận lợi cho tơi q trình làm luận án Xin chân thành cám ơn TS Nguyễn Xuân Hải, Giám ñốc Trung tâm Đào tạo, Viện Nghiên cứu hạt nhân việc bố trí thí nghiệm kênh thảo luận thú vị hệ phổ kế dùng ghi-đo xạ ion hóa Xin chân thành cám ơn: ThS-NCS Nguyễn An Sơn, Trường Đại học Đà Lạt nỗ lực đáng kể phối hợp cơng việc, hợp tác nghiên cứu; ThS-NCS Phạm Ngọc Sơn, KSC-NCS Phạm Ngọc Tuấn, ThS-NCS Trần Tuấn Anh, CN Tưởng Thị Thu Hường, Phòng Vật lý Điện tử hạt nhân hợp tác có hiệu cơng việc Xin trân trọng cám ơn Ban Lãnh ñạo Viện Năng lượng nguyên tử Việt Nam, Ban Lãnh ñạo Viện Nghiên cứu hạt nhân ln ủng hộ, động viên, tạo ñiều kiện ñể nghiên cứu sinh hoàn thành nhiệm vụ Xin cám ơn anh, chị Phòng Vật lý Điện tử hạt nhân, ñồng nghiệp ñã tham gia trực tiếp gián tiếp ñề tài nghiên cứu khoa học-cơng nghệ liên quan đến luận án Nhân dịp này, tơi xin gửi lời cám ơn chân thành tới bạn hữu xa, gần việc chia sẻ tình cảm giúp đỡ tơi lúc khó ngặt khả tâm tương Đà Lạt, ngày 26 tháng 12 năm 2013 Nghiên cứu sinh download by : skknchat@gmail.com iii THE ABSTRACT OF DOCTORAL THESIS Author: Dang Lanh Supervisor: Assoc Prof Dr Nguyen Nhi Dien Title of the thesis: Studying on and the construction of DSP-based instruments via application of FPGA for experimental nuclear physics research Major: Atomic Physics Code: 62.44.01.06 Institution: Vietnam Atomic Energy Agency (VINATOM) THE CONTENT OF THE ABSTRACT The aim of the dissertation: The aim of the thesis is to study, design and fabricate some functional electronics modulars for radiation measurements and detection at the horizontal channels in DaLat research reactor by Digital Signal Processing (DSP) techniques via applications of Field Programmable Gate Arrays (FPGA) Objectives: The objectives of the thesis is to focus on exploitation of Very high speed integrated circuit Hardware Description Language (VHDL) with mathematical algorithms for creating an FPGA entity to an integrated product that has flexible processing capabilities and entirely controlled by software Research methods as follows: Moving Window Deconvolution (MWD) method for re-constructing the charge of any radiation event interacted detector environment; Signal processing method before the conditioning stage (APP) for making an adaption bridge between time-variant analog domain with Infinite Impluse Response (IIR) and time-invariant digital domain with Finite Impulse Response (FIR); Digital Pulse Processing (DPP) method using Low Pass Filter (LPF), High Pass Filter (HPF) and High Pass Deconvolver (HPD) to convert energy information into trapezoidal signals, Digital Base Line Restorer (BLR) to stabilize spectra, Add-subtract units to detect peaks with pile-up rejection; Using Visual C++ and LabView to develop application procedures obtaining and control of data New contributions of the dissertation: 1) Research and application of Digital Pulse Processing (DPP) successfully, handling Analog Pulse Shape (ASP) from the radiation measurement detectors and quantizing signals through A/D conversion in development of digital instruments 2) Design, fabrication of functional electronics modulars based on DSP via FPGA for domestic demands 3) Development of the VHDL code to build MCAs in algorithms through ISE or Max+PlusII, and of the application programs under Windows in the object-oriented language VC++, LabView to acquire data Results of the dissertation: As to hardware, the thesis designed, constructed and gave a usage of the following instruments: FPGA-MCA8K, DSP-MCA1K, DSPMCA8K modulars All the instruments were capable of interfacing to PC via µC Related to self-executed software, the thesis developed digital procedures to digitize signals in FPGA entity via ISE-Xilinx, designed logic projects inside the FPGA with logic-logic linking method via Max+PlusII-Altera, created application programs named MCANRI and MCADSP for getting and processing data download by : skknchat@gmail.com iv Conclusions: In the past, most of popular functional electronics modulars were normally based on traditional analog techniques, complicated and not convenient for use This dissertation deals with a new design of contemporary techniques based on FPGA devices via DSP with VHDL The outstanding advantage of DSP techniques and FPGA technology is capable of enhancement of the quality of the experimental measurements for nuclear radiation The digital instruments are established with FPGA devices One of the new development directions for building experimental systems of nuclear physics studies and applications of nuclear technology is utilization of FPGA and DSP techniques This direction meets effectively the more increasing requirements on the accuracy of ionizing radiation measurements Since that, a novel generation of spectrometry systems is compact on size, convenient in terms of connectivity and use The outstanding advantage of DSP techniques and FPGA technology is capable of enhancement of the quality of the experimental measurements for nuclear radiation, minimization of functional electronics modules as well as the economic investment Besides, an important element of the system based on DSP and FPGA is low power consumption to save energy that has a special meaning in large equipments With these advantages, the applied research via FPGA, DSP in design and fabrication of radiation measurement instruments for fundamental research in nuclear physics, especially about the study of nuclear structure and data on neutron beams at the Dalat reactor and on the charged particle beam accelerators for domestic needs is essential Supervisor Post-Graduate Nguyen Nhi Dien Dang Lanh Nguyen Nhi Dien Dang Lanh download by : skknchat@gmail.com v MỤC LỤC LỜI CAM ĐOAN I LỜI CÁM ƠN II THE ABSTRACT OF DOCTORAL THESIS…………………………………… III MỤC LỤC V BẢNG CHỮ VIẾT TẮT X DANH MỤC HÌNH XV DANH MỤC BẢNG XIX MỞ ĐẦU CHƯƠNG VAI TRỊ CHỨC NĂNG CỦA DSP, FPGA VÀ THUẬT TỐN ĐỂ PHÁT TRIỂN, ỨNG DỤNG THIẾT BỊ ĐIỆN TỬ HẠT NHÂN TRONG GHIĐO BỨC XẠ 1.1 Tình hình nghiên cứu, ứng dụng ngồi nước 1.1.1 Tình hình nghiên cứu, ứng dụng nước 1.1.2 Tình hình nghiên cứu, ứng dụng nước 1.2 Vai trò chức DSP FPGA 1.2.1 Xử lý tín hiệu số (DSP) 1.2.2 Mảng phần tử logic có khả lập trình (FPGA) 1.2.2.1 Giới thiệu 1.2.2.2 Tích hợp chức FPGA 1.3 Ứng dụng DSP FPGA thiết bị ñiện tử 10 1.4 Phương pháp ñiện tử kỹ thuật số 11 1.4.1 Phương pháp khử tích chập cửa sổ động (MWD) thực thuật tốn DSP 11 1.4.1.1 Giới thiệu 11 1.4.1.2 Tái cấu trúc điện tích kiện 12 1.4.2 Phương pháp thiết kế ghi-đo xử lý tín hiệu kỹ thuật DSP 17 1.4.2.1 Giới thiệu hệ phổ kế sở DSP 17 1.4.2.2 Các tầng điện tử 17 1.4.2.3 Cấu trúc tiền xử lý tương tự (APP) dạng tín hiệu 18 download by : skknchat@gmail.com vi 1.4.2.4 Hình thành xung 19 1.4.2.5 Mạch hồi phục ñường (BLR) 21 1.4.2.6 Tác vụ chọn lựa xung 21 1.4.2.7 Khóa xóa phân biệt thời gian tăng 23 1.4.3 Mơ hình thuật tốn DSP dùng thiết kế ghi-đo xạ 24 1.4.3.1 Giới thiệu 24 1.4.3.2 Bộ tạo dạng xung số (DPS) hình thang 25 1.4.3.3 Nhận xét 27 1.4.4 Biến đổi A/D dựa phép khử tích chập cửa sổ ñộng 27 1.4.4.1 Giới thiệu 27 1.4.4.2 Biến ñổi A/D-Biểu diễn tương ñương 27 1.4.5 Phương pháp liên kết cổng logic dùng FPGA Max+Plus II 29 1.5 Các xử lý xung kiểu số (DPP) hình thành xung tương tự (APS) Ưu ñiểm ñiện tử truyền thống ñiện tử số 31 1.5.1 Sơ ñồ cấu trúc DPP APS 31 1.5.2 Ưu nhược kỹ thuật lọc số 33 1.5.2.1 Đáp ứng xung hữu hạn (FIR) 33 1.5.2.2 Hồi phục cạnh ñỉnh phẳng khả nhập/xuất liệu MCA 33 1.6 Thuật toán xử lý số liệu thực nghiệm 35 1.6.1 Độ chuẩn xác đỉnh có phơng 35 1.6.2 Độ phân giải lượng đỉnh hấp thụ tồn phần 37 1.6.3 Tính đường cong định chuẩn 37 1.6.4 Độ phi tuyến tích phân (INL) 37 1.6.5 Độ phi tuyến vi phân (DNL) 38 Tóm tắt chương 38 CHƯƠNG THIẾT KẾ, CHẾ TẠO CÁC KHỐI ĐIỆN TỬ CHỨC NĂNG CHO HỆ GHI-ĐO BỨC XẠ GAMMA VÀ NƠTRON 41 2.1 Thiết kế, chế tạo khối thiết bị dùng FPGA, DSP ghép PC 41 2.1.1 Thiết kế-chế tạo khối FPGA-MCA8K 41 2.1.1.1 Phương pháp ứng dụng sơ đồ tích hợp phận ñiện tử 41 download by : skknchat@gmail.com vii 2.1.1.2 Bộ xử lý trung tâm (CPU) hoạt ñộng khối FPGA-MCA8K 43 2.1.1.3 Đặc trưng kỹ thuật khối FPGA-MCA 8K ñã chế tạo 44 2.1.2 Thiết kế-chế tạo khối DSP-MCA1K dùng FPGA nhờ VHDL 45 2.1.2.1 Sơ ñồ tổng thể thiết kế 45 2.1.2.2 Các thành phần vi mạch thực thể 46 2.1.2.3 Hình thành nhớ kép (DPRAM) ROM nhờ ISE 47 2.1.2.4 Hình thành xử lý trung tâm (CPU) 48 2.1.2.5 Xây dựng máy phát xung tam giác/hình thang VHDL 49 2.1.2.6 Đặc trưng kỹ thuật thiết bị DSP-MCA1K 49 2.1.3 Thiết kế, chế tạo khối DSP-MCA8K dùng FPGA 50 2.1.3.1 Sơ ñồ khối thiết bị DSP-MCA8K 50 2.1.3.2 Cấu trúc hệ thống khối thiết bị DSP-MCA8K 50 2.1.3.3 Tầng xử lý tương tự-số có sử dụng tiền lọc tương tự (APP) 52 2.1.3.4 Bộ khử tích chập mạch lọc cao qua (HPD) 53 2.1.3.5 Khối làm chậm trộn tín hiệu 54 2.1.3.6 Bộ lọc thấp qua (LPF) 55 2.1.3.7 Tầng phát ñỉnh, logic ñiều khiển nhớ phổ 56 2.1.3.8 Tầng giao diện vi ñiều khiển EZ ghi/bộ nhớ 57 2.1.3.9 Các ñặc trưng tham số kỹ thuật khối DSP-MCA8K 57 2.2 Đánh giá khả áp dụng khối ñiện tử ñã chế tạo cấu hình đo hệ phổ kế trùng phùng 58 2.2.1 Một số cấu hình hệ ño trùng phùng γ-γ Viện NCHN 58 2.2.1.1 Cơ sở phương pháp thiết kế 59 2.2.1.2 Thiết kế nguyên tắc cho hệ trùng phùng số ghi “sự kiện-sự kiện” 59 2.2.2 Khả áp dụng số khối điện tử chế tạo cấu hình hệ ño trùng phùng 60 2.3 Thiết kế, chế tạo hệ ghi-ño nơtron qua vi ñiều khiển dòng EZ-USB 60 2.3.1 Các thành phần thiết bị 61 2.3.2 Thiết kế, chế tạo khối MCA8K dùng vi ñiều khiển EZ-USB 61 2.3.3 Lưu đồ thuật tốn 62 download by : skknchat@gmail.com viii 2.3.4 Đặc trưng kỹ thuật hệ phổ kế ghi nơtron 63 2.4 Phát triển chương trình ứng dụng thu nhận liệu cho hệ ghi-ño gamma nơtron 64 2.4.1 Phát triển chương trình ứng dụng thu nhận liệu MCANRI VC++ 64 2.4.1.1 Lưu ñồ thuật tốn giải thích lưu đồ 64 2.4.1.3 Chương trình lưu phổ 65 2.4.2 Phát triển chương trình ứng dụng liệu DSPMCA LabView 67 2.4.2.1 Hàm kết nối thiết bị 68 2.4.2.2 Các hàm ñiều khiển luồng liệu 69 2.4.2.3 Phần mềm ứng dụng ñiều khiển thiết bị 70 2.4.3 Phát triển chương trình vi điều khiển C Keil51 74 2.4.3.1 Chức chương trình vi điều khiển C Keil51 74 2.4.3.2 Lưu đồ thuật tốn giải thích lưu đồ 75 Tóm tắt chương 75 CHƯƠNG KẾT QUẢ THỰC NGHIỆM VÀ THẢO LUẬN 77 3.1 Mục tiêu, đối tượng, vai trị thủ tục kiểm tra thiết bị 77 3.2 Các thiết bị hỗ trợ kiểm tra ñiều kiện tiến hành 78 3.3 Thí nghiệm kiểm tra tham số ñặc trưng kỹ thuật thiết bị chế tạo 79 3.3.1 Kiểm tra số kênh khối thiết bị 79 3.3.2 Kiểm tra ñộ phi tuyến vi phân (DNL) 80 3.3.2.1 Độ phi tuyến vi phân khối FPGA-MCA8K (DNLFPGA-MCA8K) 80 3.3.2.2 Độ phi tuyến vi phân khối DSP-MCA8K (DNLDSP-MCA8K) 82 3.3.3 Kiểm tra độ phi tuyến tích phân (INL) 84 3.3.3.1 Độ phi tuyến tích phân khối FPGA-MCA8K (INLFPGA-MCA8K) 84 3.3.3.2 Độ phi tuyến tích phân khối DSP-MCA8K (INLDSP-MCA8K) 86 3.3.4 Kiểm tra ñộ chuẩn xác số ñếm tần suất liệu vào-ra 87 3.3.4.1 Độ chuẩn xác số ñếm tần suất liệu vào-ra khối FPGAMCA8K 87 3.3.4.2 Độ chuẩn xác số ñếm tần suất liệu vào-ra khối DSPMCA8K 88 download by : skknchat@gmail.com 136 DI : in std_logic_vector (12 downto 0); data input TRAP_SCP: OUT std_logic_vector(12 downto 0) ); end LowPassFilter_logic_FC; architecture Behavioral of LowPassFilter_logic_FC is component Rect_trapz_shape_logic1 is PORT( clk: IN std_logic; ACLR: IN std_logic; TRAP_SCP: OUT std_logic_vector(15 downto 0)); end component; port map( clk, reset, Ls_WIDTH1, DI, DO_New, DO_L, DO_LG, DO_2LG); create_trapezoidal: Rect_trapz_shape_logic1 get_product: DIV164 port map ( clk, reset, TRAP_SCPB, L_WIDTH, Quot_data, open); end Behavioral; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity LowPassFilter_logic_SC is port( clk : in std_logic; reset: in std_logic; L_WIDTH: in std_logic_vector (7 downto 0); RiseTime width; =8,16,32,64 G_WIDTH: in std_logic_vector (7 downto 0); Flattop width;=8,16 DI : in std_logic_vector (12 downto 0); TRAP_SCP: OUT std_logic_vector(12 downto 0) ); end LowPassFilter_logic_SC; architecture Behavioral of LowPassFilter_logic_SC is component delayline_slow_filter is port( clk : in std_logic; reset: in std_logic;); end component; component Rect_trapz_shape_logic is PORT( clk: IN std_logic; ACLR: IN std_logic; x1: IN std_logic_vector(12 downto 0); x2: IN std_logic_vector(12 downto 0); x3: IN std_logic_vector(12 downto 0); x4: IN std_logic_vector(12 downto 0); TRAP_SCP: OUT std_logic_vector(18 downto 0)); end component; component DIV1 is port ( end component; signal DO_New: std_logic_vector (12 downto 0); signal DO_L: std_logic_vector (12 downto 0); signal DO_LG: std_logic_vector (12 downto 0); signal DO_2LG: std_logic_vector (12 downto 0); port map(clk, reset, L_WIDTH1, G_WIDTH1, ); create_trapezoidal: Rect_trapz_shape_logic PORT MAP( clk, reset, DO_New, DO_L, DO_LG, DO_2LG, TRAP_SCPB); get_product: DIV1 port map(clk, reset, open); end Behavioral; library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; download by : skknchat@gmail.com 137 architecture Behavioral of pile_up_checker is component peak_center_finder is Port ( CLK : in STD_LOGIC; SFP_I : in STD_LOGIC; RFP_I : in STD_LOGIC; FF_I : in STD_LOGIC_VECTOR (11 downto 2); FTOP_O : out STD_LOGIC); end component; component Maximum_fast_peak_width_inspector is Port ( CLK : in STD_LOGIC; RFP_I : in STD_LOGIC; PE_I : in STD_LOGIC_VECTOR (7 downto 0); V20 MWID_O : out STD_LOGIC ='1'if pileup in fast filter channel); end component; component peak_capture_counter is Port ( res : in STD_LOGIC; CLK : in STD_LOGIC; L12_I : in STD_LOGIC; PG_I : in STD_LOGIC_VECTOR (7 downto 0);PEAK_FOUND : out STD_LOGIC; PSAMP : out STD_LOGIC ); end component; component Peak_baseline_value_latch is port (reset: in std_logic; CLK: in std_logic; sel: in std_logic; latch_ena: in std_logic; dataIn: in std_logic_vector(11 downto 0); peak_value: out std_logic_vector(11 downto 0); base_value: out std_logic_vector(11 downto 0) ); end component; signal FTOP_BUF : std_logic; signal MWID_BUF : std_logic; signal L12_BUF : std_logic; signal PSAMP_L : std_logic; signal BLFLGA : std_logic; begin BLFLG addra, addrb => addrb, clka => clka, clkb => clkb, dina => dina, dinb => dinb, douta => douta, doutb => doutb, ena => ena, wea => wea, web => web); end Behavioral; - Module Name: access_dpram_byEZUSB - Behavioral -library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity MCA_CPU_interface is PORT( wr:IN STD_LOGIC; Write signal, active:=0; output from controller rd:IN STD_LOGIC; Read signal, active:=0; output from controller Addr_Bus:IN STD_LOGIC_VECTOR(15 DOWNTO 0); 16 bits address bus; Addr_O_Bus: OUT STD_LOGIC_VECTOR(14 DOWNTO 0); select one among 32Kb memory data_bus: INOUT STD_LOGIC_VECTOR(7 DOWNTO 0); connect to EZUSB uC data bus REGISTERS_SEL: OUT STD_LOGIC; selected registers block for access ); end MCA_CPU_interface; architecture Behavioral of MCA_CPU_interface is component reg_addr_ctrl_bus is PORT( wr:IN STD_LOGIC; rd:IN STD_LOGIC; addrI15:IN STD_LOGIC; cs_EN: OUT STD_LOGIC; enable registers to access wr_EN: OUT STD_LOGIC enable to write registers with data on "data_wr_MEM" port ); end component; component dpram_addr_ctrl_bus is PORT( wr:IN STD_LOGIC; rd:IN STD_LOGIC; addr_I:IN STD_LOGIC_VECTOR(15 DOWNTO 0); Maddr_O: OUT STD_LOGIC_VECTOR(14 DOWNTO 0); Mwr_EN: OUT STD_LOGIC enable to write DPRAM ); end component; signal Rsel: std_logic; BEGIN REGISTERS_SEL SelectObject(pOldPen); void CMCANRIView::Draw(CDC *pDC) { CMCANRIDoc *pDoc = GetDocument(); ASSERT_VALID(pDoc); POINT p; pDC->DPtoLP(&rcClient); for(int k=0; kdata[k]>=Vscale)&&(m_InfoDlg.m_Option==0)) { Vscale=Vscale*2; OnDraw(pDC); } } int yo=(int)(-20); int xo=(int)(50); long my=ym+yo;//(long)(spacey*Vscale); long mx=xm-xo;// (long)(spacex*Hscale+xo); float spacex = (mx)/(float)Hscale; float spacey = my/(float)Vscale; for(int i=Low; idata[i]>Tally[i]) { p.x=(i-Low)*spacex+xo; p.y=(int)((Vscale-Tally[i])*spacey); pDC->SetPixel(p,RGB(0,0,128)); Tally[i]=pDoc->data[i]; p.y=(int)((Vscale-Tally[i])*spacey); pDC->SetPixel(p,RGB(255,255,0)); } } Cursor.y=(pDoc->data[Cursor.x]); pDC->SelectObject(pOldPen); } } void CMCANRIView::OnStart() { OffControl=TRUE; OnControl=FALSE; GetSystemTime(&STime); for(int j=0;jdata[Cursor.x]; OnDraw(&dc); Viewdata(); } void CMCANRIView::OnUnexpand() { int HscaleNew = Hscale+1; if(HscaleNew*2= 16384) { High = 16383; Hscale = HscaleNew -1; Low = High-Hscale; }else { if((Cursor.x - HscaleNew/2)0) { int Count=0; int Center=Cursor.x; int Left=0; int Right=0; int LeftCount, RightCount; int i,j; int FWHM_Count; float FWHM_L, FWHM_R; RoiInfo[RoiIndex].Net=0; RoiInfo[RoiIndex].Gross=0; Left= Cursor.x; Right=Cursor.x+MousePosition; For (j=Left-4;jdata[j]; RoiInfo[RoiIndex].Net=Count; FWHM_Count=(pDoc->data[Center]-(abs(pDoc->data[RightCount]-pDoc>data[LeftCount])/2))/2; for(i=Left; idata[i]data[i+1]>=FWHM_Count)) download by : skknchat@gmail.com 144 { FWHM_L=float(i)+(1.0/abs(pDoc->data[i+1]-pDoc>data[i]))*(FWHM_Count-pDoc->data[i]); } } for(i=Right; i>=Center; i ) FWHM_R=(m_En_Calibrate.FitPara[0]+FWHM_R*m_En_Calibrate.FitPara[1]+m_En_Calibrate.F itPara[2]*FWHM_R*FWHM_R); FWHM_L=(m_En_Calibrate.FitPara[0]+FWHM_L*m_En_Calibrate.FitPara[1]+m_En_Calibrate.Fi tPara[2]*FWHM_L*FWHM_L); RoiInfo[RoiIndex].FWHM=FWHM_R-FWHM_L; RoiIndex++; } MaxMouseMove=0; MousePosition=0; CFormView::OnLButtonUp(nFlags, point); } void CMCANRIView::OnCalEnergy() { if(m_En_Calibrate.DoModal()==IDOK) { EnCalibControl=TRUE; } } download by : skknchat@gmail.com 145 PHỤ LỤC E: HÌNH ẢNH THIẾT BỊ ĐÃ CHẾ TẠO Hình E1: Bản mạch FPGA-MCD8K download by : skknchat@gmail.com 146 Hình E2: Khối FPGA-ADC8K download by : skknchat@gmail.com 147 Hình E3: Khối DSP-MCA8K Hình F4: Ảnh chụp mặt trước sau mạch XC3S400-TB dùng ñể chế tạo khối DSP-MCA8K download by : skknchat@gmail.com 148 Hình E5: Bản mạch DSP-Spartan-3E, Xilinx dùng ñể chế tạo khối DSP-MCA1K download by : skknchat@gmail.com 149 Hình E6: Hệ đếm nơtron ghép PC dùng vi điều khiển dịng PIC download by : skknchat@gmail.com 150 Hình E7: Hệ ñếm nơtron ghép PC qua EZ-USB download by : skknchat@gmail.com ... DỤC VÀ ĐÀO TẠO BỘ KHOA HỌC VÀ CÔNG NGHỆ VIỆN NĂNG LƯỢNG NGUYÊN TỬ VIỆT NAM Đặng Lành NGHIÊN CỨU, XÂY DỰNG HỆ THIẾT BỊ THU NHẬN VÀ XỬ LÝ SỐ LIỆU DỰA TRÊN KỸ THU? ??T DSP QUA ỨNG DỤNG FPGA PHỤC VỤ NGHIÊN... hóa hệ điện tử chun dụng chưa có thương mại hóa nhu cầu thực tế Vì lý trình bày trên, vấn đề ? ?Nghiên cứu, xây dựng hệ thiết bị thu nhận xử lý số liệu dựa kỹ thu? ??t DSP qua ứng dụng FPGA phục vụ nghiên. .. dị vật lý hạt nhân [66] Các cơng trình nêu ứng dụng thu? ??t tốn xử lý xung số ñể nghiên cứu, thiết kế hệ phổ kế gamma qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân 1.1.2 Tình hình nghiên cứu,

Ngày đăng: 03/04/2022, 12:32

Hình ảnh liên quan

Hình 1.2: Cấu hình bảng tra cứu với dữ liệu nhập/xuất. Nguồn [46].  - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 1.2.

Cấu hình bảng tra cứu với dữ liệu nhập/xuất. Nguồn [46]. Xem tại trang 30 của tài liệu.
Hình 1.7: Các vệt tín hiệu minh họa tác vụ xử lý xung. Nguồn [101]. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 1.7.

Các vệt tín hiệu minh họa tác vụ xử lý xung. Nguồn [101] Xem tại trang 40 của tài liệu.
Hình 1.11: Các tín hiệu biểu thị tác vụ thực hiện chống chồng chập. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 1.11.

Các tín hiệu biểu thị tác vụ thực hiện chống chồng chập Xem tại trang 43 của tài liệu.
Hình 2.1: Sơ ñồ cấu trúc khối FPGA-MCA8K ghép máy tính. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.1.

Sơ ñồ cấu trúc khối FPGA-MCA8K ghép máy tính Xem tại trang 63 của tài liệu.
2.1.2.3b. Hình thành bộ nhớ kép (DPRAM) - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

2.1.2.3b..

Hình thành bộ nhớ kép (DPRAM) Xem tại trang 69 của tài liệu.
Hình 2.9: Sơ ñồ cấu trúc tổng thể của khối DSP-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.9.

Sơ ñồ cấu trúc tổng thể của khối DSP-MCA8K Xem tại trang 72 của tài liệu.
Hình 2.13: Tầng làm chậm và trộn tín hiệụ  - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.13.

Tầng làm chậm và trộn tín hiệụ Xem tại trang 75 của tài liệu.
Hình 2.20: Sơ ñồ tổng thể khối MCA8K dùng EZ-USB trong hệ ñếm nơtron. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.20.

Sơ ñồ tổng thể khối MCA8K dùng EZ-USB trong hệ ñếm nơtron Xem tại trang 83 của tài liệu.
Hình 2.22: Lưu ñồ thuật toán cho chương trình giao tiếp máy tính.  - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.22.

Lưu ñồ thuật toán cho chương trình giao tiếp máy tính. Xem tại trang 85 của tài liệu.
Hình 2.23: Lưu ñồ thuật toán xử lý phổ của chương trình ứng dụng MCANRỊ - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.23.

Lưu ñồ thuật toán xử lý phổ của chương trình ứng dụng MCANRỊ Xem tại trang 87 của tài liệu.
Hình 2.30: Trình đơn mở tập tin. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.30.

Trình đơn mở tập tin Xem tại trang 92 của tài liệu.
Hình 2.39: Lưu ñồ thuật tốn trình vi ñiều khiển. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 2.39.

Lưu ñồ thuật tốn trình vi ñiều khiển Xem tại trang 96 của tài liệu.
Hình 3.3: Cấu hình thí nghiệm ño ñộ phi tuyến vi phân DNLFPGA-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.3.

Cấu hình thí nghiệm ño ñộ phi tuyến vi phân DNLFPGA-MCA8K Xem tại trang 102 của tài liệu.
Hình 3.5: Độ phi tuyến vi phân của khối FPGA-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.5.

Độ phi tuyến vi phân của khối FPGA-MCA8K Xem tại trang 103 của tài liệu.
Hình 3.7: Phổ tuyến tính vi phân của hệ SUT dùng khối DSP-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.7.

Phổ tuyến tính vi phân của hệ SUT dùng khối DSP-MCA8K Xem tại trang 104 của tài liệu.
và INL của hệ RSS: INLMCD8K-Accuspec = 0.15%. Các kết quả ñược ghi vào bảng 3.4.    Bảng 3.3: Giá trị các cặp thế-kênh thu ñược khi kiểm tra INLFPGA-MCA8K - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

v.

à INL của hệ RSS: INLMCD8K-Accuspec = 0.15%. Các kết quả ñược ghi vào bảng 3.4. Bảng 3.3: Giá trị các cặp thế-kênh thu ñược khi kiểm tra INLFPGA-MCA8K Xem tại trang 106 của tài liệu.
Hình 3.10: Đường biểu diễn INL của hệ hợp bộ dùng FPGA-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.10.

Đường biểu diễn INL của hệ hợp bộ dùng FPGA-MCA8K Xem tại trang 106 của tài liệu.
Hình 3.11: Thí nghiệm kiểm tra INLDSP-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.11.

Thí nghiệm kiểm tra INLDSP-MCA8K Xem tại trang 107 của tài liệu.
Bảng 3.8: Số đếm tích lũy theo thời gian thực và ñộ lệch số ñếm của hai khối DSP-MCA8K và DSPEC - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Bảng 3.8.

Số đếm tích lũy theo thời gian thực và ñộ lệch số ñếm của hai khối DSP-MCA8K và DSPEC Xem tại trang 109 của tài liệu.
Bảng 3.11b: Các giá trị hoạt ñộ nguồn, thời gian ño, hiệu suất phát hiện ñỉnh năng lượng gamma từ ñồng vị 152Eụ  - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Bảng 3.11b.

Các giá trị hoạt ñộ nguồn, thời gian ño, hiệu suất phát hiện ñỉnh năng lượng gamma từ ñồng vị 152Eụ Xem tại trang 114 của tài liệu.
Hình 3.16: Đường chuẩn hiệu suất ghi đầu dị theo năng lượng.  - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.16.

Đường chuẩn hiệu suất ghi đầu dị theo năng lượng. Xem tại trang 114 của tài liệu.
Hình 3.21: Đỉnh 661.7 keV của 137Cs trong hai hệ ño DSPEC và DSP-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.21.

Đỉnh 661.7 keV của 137Cs trong hai hệ ño DSPEC và DSP-MCA8K Xem tại trang 117 của tài liệu.
Hình 3.23: Phổ thu ñược từ máy phát xung tam giác của khối DSP-MCA1K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.23.

Phổ thu ñược từ máy phát xung tam giác của khối DSP-MCA1K Xem tại trang 119 của tài liệu.
Hình 3.24: Phổ nơtron ño trên kênh ngang số 4 Lò Đà Lạt [36]. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

Hình 3.24.

Phổ nơtron ño trên kênh ngang số 4 Lò Đà Lạt [36] Xem tại trang 120 của tài liệu.
PHỤ LỤC E: HÌNH ẢNH THIẾT BỊ ĐÃ CHẾ TẠO - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm
PHỤ LỤC E: HÌNH ẢNH THIẾT BỊ ĐÃ CHẾ TẠO Xem tại trang 166 của tài liệu.
Hình E3: Khối DSP-MCA8K. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

nh.

E3: Khối DSP-MCA8K Xem tại trang 168 của tài liệu.
Hình F4: Ảnh chụp mặt trước và sau của bản mạch XC3S400-TB dùng ñể chế tạo khối DSP-MCA8K - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

nh.

F4: Ảnh chụp mặt trước và sau của bản mạch XC3S400-TB dùng ñể chế tạo khối DSP-MCA8K Xem tại trang 168 của tài liệu.
Hình E5: Bản mạch DSP-Spartan-3E, Xilinx dùng ñể chế tạo khối DSP-MCA1K.  - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

nh.

E5: Bản mạch DSP-Spartan-3E, Xilinx dùng ñể chế tạo khối DSP-MCA1K. Xem tại trang 169 của tài liệu.
Hình E6: Hệ ñếm nơtron ghép PC dùng vi ñiều khiển dòng PIC. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

nh.

E6: Hệ ñếm nơtron ghép PC dùng vi ñiều khiển dòng PIC Xem tại trang 170 của tài liệu.
Hình E7: Hệ ñếm nơtron ghép PC qua EZ-USB. - (LUẬN văn THẠC sĩ) nghiên cứu, xây dựng hệ thống thiết bị thu nhận và xử lý số liệu dựa trên kỹ thuật DSP qua ứng dụng FPGA phục vụ nghiên cứu vật lý hạt nhân thực nghiệm

nh.

E7: Hệ ñếm nơtron ghép PC qua EZ-USB Xem tại trang 171 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan