Nghiên cứu, thiết kế và chế tạo KIT phát triển phục vụ cho đào tạo ngành điện tử viễn thông

88 364 0
Nghiên cứu, thiết kế và chế tạo KIT phát triển phục vụ cho đào tạo ngành điện tử viễn thông

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI NGUYỄN QUỐC BẢO NGHIÊN CỨU, THIẾT KẾ VÀ CHẾ TẠO KIT PHÁT TRIỂN PHỤC VỤ CHO ĐÀO TẠO NGÀNH ĐIỆN TỬ VIỄN THÔNG LUẬN VĂN THẠC SĨ KHOA HỌC KỸ THUẬT VIỄN THÔNG Hà Nội – 2016 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI NGUYỄN QUỐC BẢO NGHIÊN CỨU, THIẾT KẾ VÀ CHẾ TẠO KIT PHÁT TRIỂN PHỤC VỤ CHO ĐÀO TẠO NGÀNH ĐIỆN TỬ VIỄN THÔNG LUẬN VĂN THẠC SĨ KHOA HỌC KỸ THUẬT VIỄN THÔNG NGƯỜI HƯỚNG DẪN KHOA HỌC: TS Nguyễn Hoàng Dũng Hà Nội – 2016   CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập – Tự – Hạnh phúc BẢN XÁC NHẬN CHỈNH SỬA LUẬN VĂN THẠC SĨ Họ tên tác giả luận văn: Nguyễn Quốc Bảo Đề tài luận văn: Nghiên cứu, thiết kế chế tạo kit phát triển phục vụ cho đào tạo ngành điện tử viễn thông Chuyên ngành: Kỹ thuật viễn thông Mã số SV: CB140231 Tác giả, Người hướng dẫn khoa học Hội đồng chấm luận văn xác nhận tác giả sửa chữa, bổ sung luận văn theo biên họp Hội đồng ngày 22/4/2016 với nội dung sau: (Không có nội dung cần sửa chữa, bổ sung) Ngày Giáo viên hướng dẫn Tác giả luận văn TS Nguyễn Hoàng Dũng Nguyễn Quốc Bảo CHỦ TỊCH HỘI ĐỒNG PGS.TS Nguyễn Tiến Dũng   tháng năm 2016   Luận văn thạc sĩ kỹ thuật LỜI CAM ĐOAN Tôi xin cam đoan luận văn công trình nghiên cứu thực tôi, thực hướng dẫn khoa học TS Nguyễn Hoàng Dũng Các số liệu, kết nghiên cứu luận văn trung thực Tôi xin chịu trách nhiệm nghiên cứu Học viên Nguyễn Quốc Bảo i     Luận văn thạc sĩ kỹ thuật MỤC LỤC LỜI CAM ĐOAN i  DANH SÁCH CÁC TỪ VIẾT TẮT iv  DANH SÁCH BẢNG BIỂU v  DANH SÁCH HÌNH ẢNH vi  MỞ ĐẦU 1  CHƯƠNG 1: NGHIÊN CỨU TỔNG QUAN 4  1.1 Giới thiệu chung kit phát triển giới Việt Nam 4  1.2 Lựa chọn đề xuất giải pháp xây dựng kit phát triển 7  1.3 Quy trình chế tạo kit phát triển 8  1.3.1 Yêu cầu thiết kế 8  1.3.2 Thiết kế hệ thống 9  1.3.3 Thiết kế chức 9  1.3.4 Thiết kế nguyên lý mô 9  1.3.5 Thiết kế mạch in 10  1.3.6 Chế tạo kit 10  1.3.7 Đo đạc, kiểm tra đánh giá 10  1.4 Quy trình xây dựng thực hành 11  1.4.1 Xây dựng yêu cầu thực hành 12  1.4.2 Tổng hợp mạch điện điển hình thực hành 12  1.4.3 Xây dựng bước thực cho mạch điện 12  1.4.4 Nạp tệp (file) cấu hình xuống kit 13  1.4.5 Đánh giá, so sánh thực tế kit với lý thuyết 13  1.5 Tổng kết 13  CHƯƠNG 2: CÁC KHỐI CHỨC NĂNG CỦA KIT PHÁT TRIỂN 14  2.1 Các khối chức kit phát triển 14  2.2 Khối hiển thị 15  2.2.1 LED đơn 15  2.2.2 LED 16  2.2.3 LED Matrix 21  2.2.4 Text LCD 20x4 25  ii     Luận văn thạc sĩ kỹ thuật 2.3 Khối nhập liệu 33  2.3.1 Button, KeyPad Switch 33  2.3.2 PS/2 37  2.4 Truyền thông không đồng UART 43  2.4.1 Giao tiếp máy tính thông qua USB 45  2.4.2 Giao tiếp Module SIM 900A 45  2.5 Truyền thông đồng I2C 46  2.5.1 Giao tiếp module thời gian thực RTC 48  2.5.2 Giao tiếp EEPROM 49  2.5.3 Khối SD Card 50  2.5.4 Khối giao tiếp mạng LAN 50  2.5.5 Khối VGA 51  2.6 Tổng kết 53  CHƯƠNG 3: KẾT QUẢ, ĐÁNH GIÁ VÀ THẢO LUẬN 54  3.1 Thiết kế, chế tạo kit 54  3.1.1 Yêu cầu thiết kế 54  3.1.2 Thiết kế hệ thống 54  3.1.3 Thiết kế chức 55  3.1.4 Thiết kế nguyên lý mô 55  3.1.6 Chế tạo kit 70  3.1.7 Đo đạc, kiểm tra đánh giá 72  3.2 Xây dựng thực hành 72  3.2.1 Các thực hành cho chip FPGA EP2C5T144C8 72  3.2.2 Các thực hành cho chip AVR Atmega128 73  3.3 Đánh giá kết 75  KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 76  TÀI LIỆU THAM KHẢO 77  iii     Luận văn thạc sĩ kỹ thuật DANH SÁCH CÁC TỪ VIẾT TẮT PLA Programmable Logic Array PAL Programable Array Logic SRAM Static Random Access Memory CPLD Complex Programble Logic Device FPGA Field Programable Gate Array VHDL VHSIC Hardware Description Language EEPROM Electrically Erasable Programmable ROM HDL Hardware Description Language RTL Register Transfer Level SPLD Simple Programmable Logic Device iv     Luận văn thạc sĩ kỹ thuật DANH SÁCH BẢNG BIỂU Bảng 1-1: Bảng so sánh chế tạo thủ công chế tạo chuyên nghiệp .10  Bảng 2-2: Gán chân LED đơn 16  Bảng 2-3: Gán chân LED 18  Bảng 2-4: Tín hiệu điều khiển hiển thị cho LED 19  Bảng 2-5: Giải mã LED Anode chung 20  Bảng 2-6: Gán chân LED Matrix 22  Bảng 2-7: Giải mã LED Matrix 24  Bảng 2-8: Gán chân LCD 26  Bảng 2-9: Sơ đồ chức chân text LCD 27  Bảng 2-10: Tập lệnh LCD 29  Bảng 2-11: Function Set 30  Bảng 2-12: Display Control 31  Bảng 2-13: Entry Mode Set .31  Bảng 2-14: Write to CGRAM or DDRAM .33  Bảng 2-15: Gán chân KeyPad Switch .34  Bảng 2-16: Gán chân PS/2 38  Bảng 2-17: Keyboard scancode set 40  Bảng 2-18: Trạng thái bus giao thức PS/2 41  Bảng 2-19: Baud rate 44  Bảng 2-20: Gán chân USB 45  Bảng 2-21: Gán chân Module Sim 46  Bảng 2-22: Gán chân RTC 49  Bảng 2-23: Gán chân EEPROM .49  Bảng 2-24: Gán chân SD Card 50  Bảng 2-25: Gán chân LAN .51  Bảng 2-26: Gán chân VGA .52  v     Luận văn thạc sĩ kỹ thuật DANH SÁCH HÌNH ẢNH Hình 1-1: Kit Xilinx Spartan-3 FPGA Starter Kit Board 5  Hình 1-2: Kit Altera Cyclone III FPGA Starter Kit 5  Hình 1-3: Altera DE1 - Development and Education Board 6  Hình 1-4: Altera DE2 - Development and Education Board 6  Hình 1-5: Kit phát triển giáo dục DE-SG8V1 7  Hình 1-6: Quy trình chế tạo kit phát triển 8  Hình 1-7: Quy trình xây dựng thực hành 11  Hình 2-8: Sơ đồ khối kit phát triển 14  Hình 2-9: Sơ đồ khối LED đơn 15  Hình 2-10: Sơ đồ khối LED 17  Hình 2-11: Sơ đồ cấu tạo LED đơn 18  Hình 2-12: Sơ đồ cấu tạo LED 19  Hình 2-13: Kết hiển thị LED 20  Hình 2-14: Sơ đồ khối LED Matrix 21  Hình 2-15: Sơ đồ cấu tạo LED Matrix 8x8 .23  Hình 2-16: Kết hiển thị thị LED Matrix 8x8 24  Hình 2-17: Sơ đồ khối LCD 25  Hình 2-18: Hình ảnh LCD 20x4 26  Hình 2-19: Sơ đồ trình tự giao tiếp Text LCD 30  Hình 2-20: Sơ đồ khối Button, Keypad Switch 33  Hình 2-21: Sơ đồ cấu tạo bàn phím KEYPAD 34  Hình 2-22: Sơ đồ khối PS/2 38  Hình 2-23: Sơ đồ cấu tạo PS/2 38  Hình 2-24: Khung truyền liệu từ device đến host 42  Hình 2-25: Khung truyền liệu từ host đến device 43  Hình 2-26: Định dạng khung truyền UART .44  Hình 2-27: Sơ đồ khối USB 45  Hình 2-28: Sơ đồ khối SIM 900A .46  vi     Luận văn thạc sĩ kỹ thuật Hình 2-29: Start and Stop condition 47  Hình 2-30: Sơ đồ khối RTC 48  Hình 2-31: Sơ đồ khối EEPROM 49  Hình 2-32: Sơ đồ khối SD Card 50  Hình 2-33: Sơ đồ khối giao tiếp mạng LAN .50  Hình 2-34: Sơ đồ khối VGA .51  Hình 2-35: Giản đồ thời gian chu kỳ quét dòng 52  Hình 2-36: Giản đồ thời gian chu kỳ quét mành .53  Hình 3-37: Sơ đồ khối hệ thống 54  Hình 3-38: Sơ đồ nguyên lí hệ thống 56  Hình 3-39: Sơ đồ nguyên lý khối nguồn 57  Hình 3-40: Sơ đồ nguyên lý khối điều khiển rơ le 57  Hình 3-41: Sơ đồ nguyên lý khối điều khiển loa báo .58  Hình 3-42: Sơ đồ nguyên lý khối LED 58  Hình 3-43: Sơ đồ nguyên lý khối LCD 20x4 59  Hình 3-44: Sơ đồ nguyên lý khối LED đơn 59  Hình 3-45: Sơ đồ nguyên lý khối LED ma trận 8x8 60  Hình 3-46: Sơ đồ nguyên lý khối VGA 60  Hình 3-47: Sơ đồ nguyên lý khối PS/2 .61  Hình 3-48: Sơ đồ nguyên lý khối giao tiếp I2C sử dụng EEPROM 61  Hình 3-49: Sơ đồ nguyên lý khối giao tiếp thẻ nhớ micro SD 61  Hình 3-50: Sơ đồ nguyên lý khối giao tiếp mạng LAN 62  Hình 3-51: Sơ đồ nguyên lý khối giao tiếp GSM/GPRS 62  Hình 3-52: Sơ đồ nguyên lý khối giao tiếp USB – UART .63  Hình 3-53: Sơ đồ nguyên lý khối tạo xung vuông ADC 63  Hình 3-54: Sơ đồ nguyên lý khối điều khiển động DC 64  Hình 3-55: Sơ đồ nguyên lý khối bàn phím ma trận công tắc gạt 64  Hình 3-56: Sơ đồ nguyên lý khối giao tiếp đồng hồ thời gian thực 65  Hình 3-57: Sơ đồ nguyên lý khối chuyển mạch nạp chương trình 65  vii     Luận văn thạc sĩ kỹ thuật 5V_PL U2401 104 RXD 10 11 12 13 14 3.3V_PL TXD 5V_PL 5V_PL 10K 10K R2401 R2402 TXD DTR_N RTS_N VDD_232 RXD RI_N GND VDD DSR_N DCD_N CTS_N SHTD_N EE_CLK EE_DATA 28 27 26 25 24 23 22 21 20 19 18 17 16 15 OSC2 OSC1 PLL_TEST GND_PLL VDD_PLL LD_MODE TRI_MODE GND1 VDD1 RESET GND_3V3 VDD_3V3 DM DP J2401 USBConnectionA C2402 22 USB 12M 5V_PL 104 Y 2401 22 SHIELD2 SHIELD1 GND D+ DPWR (VCC) C2404 C2401 C2405 5V_PL D2401 M7 5V_PL R2404 R2405 100 100 C2406 1.5k R2406 3.3V_PL C2403 104 3.3V_PL R2403 PL2303 3.3V_PL 5V 3.3V_PL 104 D2402 TXD 1K R2407 1K LED D2403 RXD 24 GIAO TIEP USB - UART LED   Hình 3-52: Sơ đồ nguyên lý khối giao tiếp USB – UART 5V 1uF C1401 OUT TRG LM555 R1401 4.7K 1k R1403 330 CV THR R1402 DSCHG XUNG Q1401 C828 D1401 LED 1K J1401 MQ2 + DHT11 SW1401 R1406 RST 3.3V VCC R1404 10k Ra = R1 + R1405 R1407 ADC 1K 0.1uF C1402 0.1uF C1403 U1401 GND Rb 10K 10K R1405 R1408 - DOC ADC BANG BIEN TRO GAT SW ON - DOC ADC BANG CAM BIEN GAT SW OFF - DIEU CHINH BIEN TRO TAI MUC 1/2 14.DOC ADC 5V T1 = 0,693(Ra + Rb)C T2 = 0,693RbC Dieu chinh bien tro de thay doi tan so, gat sw off TAN SO BANG IC 555 + ADC   Hình 3-53: Sơ đồ nguyên lý khối tạo xung vuông ADC 63     Luận văn thạc sĩ kỹ thuật DC1+ DC1- DC2+ DC23.3V D1301 D1302 D1303 D1304 D1305 D1306 D1307 D1308 M7 Vin M7 M7 Vin M7 M7 Vin M7 M7 Vin M7 J1301 R1307 4.7K CON2 DC1DC1+ ENCODER2 ENCODER2 J1302 CON2 DC2DC2+ 5V R1305 Q1303 C828 1k J1303 19 10K 5V R1304 SENA SENB 14 SENB R1302 PWM2 1k 12 Vin 5V Q1302 C828 SENA SENB DC1+ DC1DC2+ DC2- ENA ENB VS VSS 3.3V R1309 4.7K Vin L298/SO ENCODER1 ENCODER1 5V 104 C1303 1k 16 17 OUT1 OUT2 OUT3 OUT4 C1302 Q1301 C828 IN1 IN2 IN3 IN4 104 PWM1 13 15 DC2A DC2B DC1A DC1B SENA R1301 C1301 10K 5V 35V-470uF R1303 5V R1308 Q1304 C828 1k J1304 13.DIEU KHIEN DONG CO DC   Hình 3-54: Sơ đồ nguyên lý khối điều khiển động DC - Su dung key pad gat cong tac bit off dong thoi gat 8sw len SW1201 KEY KEY KEY KEY 4 A B C * # D - Su dung button bang phim "*, 0, #, D" tren key pad gat cong tac bit off va gat sw4 len PAD PAD PAD PAD KEY PAD 4X4 SW1202 SW1203 SW1204 R1205 R1201 1k R1202 R1203 4.7k 1k R1204 R1209 R1208 1k 4.7k 1k SW1206 R1211 R1210 1k 4.7k 4.7k SW1207 R1213 R1212 4.7k 1k KEY KEY KEY KEY KEY PAD KEY PAD KEY PAD SW1205 R1207 R1206 1k 4.7k KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD PAD PAD PAD PAD 8 - Su dung sw gat cong tac bit on SW1208 SW1209 R1215 R1214 4.7k 1k R1216 4.7k SW31 3.3V 12 KEYPAD + SWITCH Hình 3-55: Sơ đồ nguyên lý khối bàn phím ma trận công tắc gạt 64       Luận văn thạc sĩ kỹ thuật 5V SDA SCL R802 R801 DS1307 470k 5V C802LED 104 4.7K C801 1000uF SCL SQW/OUT VBAT SDA 4.7K X2 R803 X1 D801 32.768khz VCC GND U801 Y 801 3.3V 8.I2C - RTC   5V R1 1K TCK SW3 TMS_FPGA TMS_ARM SCK TMS M7 5V DD+ SW2 TCK_ARM TCK_FPGA RESET SW SLIDE-DPDT TDO_FPGA MISO D1 LED D2 LED D3 LED TDO SW SLIDE-DPDT TDI_FPGA MOSI R3 1K GND_JLINK D4 R2 1K GND_BLASTER PWR (VCC) DD+ GND SHIELD1 SHIELD2 GND_BURN_E J15 5V_JLINK SW GAT CHAN USBConnectionA - Su dung giac usb cho cong nap chuong trinh 5V_JLINK 5V_BLASTER 5V_BURN_E USB - Su dung SW cho tung loai mach nap 5V_BURN_E SW1 GND_JLINK GND_BLASTER6 GND_BURN_E 5V_BLASTER Hình 3-56: Sơ đồ nguyên lý khối giao tiếp đồng hồ thời gian thực TDI GIAC USB 1.1 VA SW CHUYEN   Hình 3-57: Sơ đồ nguyên lý khối chuyển mạch nạp chương trình 65     10K C901 22p Y 901 12M C902 22p BURN E AUX PGC PGD GND VCC MCLR 5V_BURN_E D+ 10 C905 104 C904 104 GND_BURN_E D902 R908 3.3V 4.7K 5V_BURN_E 13 12 11 10 RB4 RB5 RB6 RB7 RC7 RC6 MISO R902 SCK R903 RESETR904 MOSI R905 4.7K 5V_BURN_E 4.7K 4.7K 4.7K D903 LED XANH R906 R907 1K 1K GND_BURN_E D904 LED DO PIC18F14K50 20 M7 5V D- 17 U902 MCLR OSC1 16 OSC2 RC0 14 RC4 RC2 RC5 15 RC3 RC1 18 D19 D+ VDD VUSB R901 104 5V_BURN_E VSS TDI TMS TDO D901 TCK VCC DD+ GND U901 C906 GND_BURN_E 5V_BURN_E GND_BURN_E D+ D5V_BURN_E J901 CON6 GND_BURN_E Luận văn thạc sĩ kỹ thuật RESET MISO SCK MOSI GND_BURN_E GND_BURN_E D905 5V 9.MACH NAP AVR - PIC M7   Hình 3-58: Sơ đồ nguyên lý khối mạch nạp PIC – AVR 12M C702 22p D- VDD 20 D+ RC0 RB6 RC4 RC7 RC1 RB4 RC3 RB5 RC2 RB7 16 11 15 13 12 14 10 PIC18F14K50 R703 R704 R705 R706 R707 R708 R702 100 100 100 100 100 100 TCK_FPGAR709 TCK_FPGA TDI_FPGA R710 TDI_FPGA TMS_FPGAR711 TDO_FPGA 1K D701 200 200 200 GND_BLASTER LED C703 104 C704 5V_BLASTER VUSB Y 701 VSS C701 22p 17 10k GND_BLASTER GND_BLASTER 5V_BLASTER U701 MCLR OSC1 OSC2 RC5 RC6 18 D19 D+ 5V_BLASTER R701 GND_BLASTER 104 7.MACH NAP FPGA Hình 3-59: Sơ đồ nguyên lý khối mạch nạp FPGA 66       Luận văn thạc sĩ kỹ thuật 3.3Vcc C1104 22p C1112 104 104 104 104 104 GND_JLINK 43 42 41 40 39 38 46 45 29 21 22 PD1 PB7 PB6 PB5 PB4 PB3 PA15 PB9 PB8 PC14 PC15 PC13 PA8 PB10 PB11 stm32f 103c6t8 GND_JLINK VIN VOUT VOUT 3.3Vcc C1105 C1106 M7 23 35 47 U1102 D1101 5V_JLINK ADJ 104 37 34 31 28 27 26 19 18 17 16 15 14 13 12 11 10 PA14 PA13 PA10 PB15 PB14 PB13 PB1 PB0 PA7 PA6 PA5 PA4 PA3 PA2 PA1 PA0 PD0 C1107 C1111 C1110 3.3Vcc 25 PB12 LED R1106 3.3Vcc 1 TDOIN TDOIN TDIOUT TCKOUT TRSTIN TDIIN TMSOUT TDOIN TCKOUT TMSIN TCKIN TRESIN TRESOUT TRSTOUT R1113 TP1 tck R1107 TP2 tms 100 3.3v cc R1108 R1109 100 TRSTOUT 100 TDIOUT R1110 R1111 R1112 100 TMSOUT 100 TCKOUT 100 TRESOUT 100 3.3Vcc 104 104 GND_JLINK LM117 D1102 R NRST Y 1101 12M PA11 PA12 PA9 BOOT0 PB2 GND_JLINK C1109 100 TCK_ARM 32 33 30 C1103 22p C1108 100 TMS_ARM TCKIN R1102 22 22 1.5k VSS VSS VSS VSSA 22p D+ C1102 TMSIN R1101 R1103 R1104 R1105 VDD VDD VDD VDDA VBAT U1101 CON3 C1101 22p D- GND_JLINK 48 24 36 TDOIN 44 20 J1105 11.MACH NAP ARM   Hình 3-60: Sơ đồ nguyên lý khối mạch nạp ARM J1601 I/O1 I/O3 I/O5 I/O7 I/O9 5V 5V 11 13 10 12 14 I/O2 I/O4 I/O6 I/O8 I/O10 CON14A 16.I/O   Hình 3-61: Sơ đồ nguyên lý khối mở rộng I/O 67     RS RW EN LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA LAN SO LAN SI LAN CLK LAN CS LAN RESET RXD TXD RESET/MCLR/TCK_FPGA MISO/PGD/TDO_FPGA/TCK_ARM SCK/PGC/TMS MOSI/AUX/TDI 5V 5V KEY PAD1 KEY PAD2 KEY PAD3 KEY PAD4 KEY PAD5 KEY PAD6 KEY PAD7 KEY PAD8 ENCODER1 EMCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP LD0 LD1 LD2 LD3 COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 40 39 5V 36 35 3.3V 63 64 65 66 67 68 69 70 71 72 I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 3.3V 3.3V I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 GND GND 80 79 78 77 76 75 74 73 COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 37 38 12 13 14 15 LD1 LD2 LD3 LD4 KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD ENCODER1 ENCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP 24 LAN_SO 25 LAN_SI LAN_CLK 26 27 LAN_CS LAN_RESET28 29 RXD 30 TXD 31 TCK 32 TDO 33 TMS 34 TDI U101 core v er1.1 10 11 23 22 21 20 19 18 17 16 1.DE NHAN CHIP RS RW EN LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA Luận văn thạc sĩ kỹ thuật   Hình 3-62: Sơ đồ nguyên lý khối socket nhân chip C7 104 C4 5V SW11 C 10 5V LD1 LD2 LD3 LD4 COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 CON10A COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 5V 36 35 3.3V I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 40 39 LAN_CS LAN_CLK SCK LAN_SI LAN_SO LAN_RESET PS2_CLK PS2_DATA PWM1 10 11 12 13 14 15 16 17 KEY KEY KEY KEY KEY KEY KEY KEY 35 36 37 38 39 40 41 42 PAD PAD PAD PAD PAD PAD PAD PAD 5V 1R1 10k 5V 1R2 1R3 10k 10k RESET 20 24 C1 Y1 8M I/O1 I/O2 RELAY XUNG ADC SDA SCL WP 22p PWM1 DC1A DC1B KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD ENCODER1 3.3V 3.3V 80 79 78 77 76 75 74 73 LD0 LD1 LD2 LD3 63 64 65 66 67 68 69 70 71 72 KEY PAD1 KEY PAD2 KEY PAD3 KEY PAD4 KEY PAD5 KEY PAD6 KEY PAD7 KEY PAD8 ENCODER1 EMCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP GND GND 5V 5V 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 37 38 12 13 14 15 51 50 49 48 47 46 45 44 C2 22p 23 PA0 PA1 PA2 PA3 PA4 PA5 PA6 PA7 (AD0) (AD1) (AD2) (AD3) (AD4) (AD5) (AD6) (AD7) PB0 PB1 PB2 PB3 PB4 PB5 PB6 PB7 PC0 PC1 PC2 PC3 PC4 PC5 PC6 PC7 VCC VCC RS RW EN LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 10 11 RS RW EN LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 LAN SO LAN SI LAN CLK LAN CS LAN RESET RXD TXD RESET/MCLR/TCK_FPGA MISO/PGD/TDO_FPGA/TCK_ARM SCK/PGC/TMS MOSI/AUX/TDI COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 U11 core v er1.1 (SCL/INT0) (SDA/INT1) (RxD1/INT2) (TxD1/INT3) (IC1) (XCK1) (T1) (T2) PD0 PD1 PD2 PD3 PD4 PD5 PD6 PD7 (SS) (SCK) (MOSI) (MISO) (OC0) (OC1A) (OC1B) (OC2/OC1C) (RxD0/PDI) (TxD0/PDO) (XCL0/AIN0) (OC3A/AIN1) (OC3B/INT4) (OC3C/INT5) (T3/INT6) (IC3/INT7) PE0 PE1 PE2 PE3 PE4 PE5 PE6 PE7 (A8) (A9) (A10) (A11) (A12) (A13) (A14) (A15) (ADC0) (ADC1) (ADC2) (ADC3) (TCK/ADC4) (TMS/ADC5) (TDO/ADC6) (TDI/ADC7) PF0 PF1 PF2 PF3 PF4 PF5 PF6 PF7 RESET AVCC PEN AREF XTAL1 XTAL2 ATmega128 GND GND GND RESET SCK MISO MOSI IC1 (WR) (RD) (ALE) (TOSC2) (TOSC1) PG0 PG1 PG2 PG3 PG4 25 26 27 28 29 30 31 32 61 60 59 58 57 56 55 54 SCL SDA RXD TXD XUNG DC1B DC1A ENCODER1 MOSI LED0 MISO LED1 LED2 LED3 LED4 LED5 LED6 LED7 ADC EN RW RS LD0 LD1 LD2 LD3 5V R11 1k 64 33 34 43 18 19 5V 62 C5 WP 104 RELAY C3 C D54 LED LOA I/O1 I/O2 22 53 63 J5 24 LAN_SO 25 LAN_SI LAN_CLK 26 27 LAN_CS LAN_RESET28 29 RXD 30 TXD 31 RESET 32 MISO 33 SCK 34 MOSI VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA 23 22 21 20 19 18 17 16 PS2_CLK PS2_DATA LOA reset 21 52 RESET   Hình 3-63: Sơ đồ nguyên lý nhân chip AVR 68     COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 PS2_CLK PS2_DATA C21 XTAL1 XTAL2 13 22pF C23 14 RESET 94 73 C24 32.768Khz C307 I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 Y 22 C308 22pF PH0 3.3V R11 1k 3.3V R17 4.7k SW11 (2) MCU 104 104 RESET 21 BO OT VCAP2 C306 C310 3.3V TCK NRST 3.3V 10 11 22 28 50 75 100 PC15 26 29 30 31 32 33 34 35 36 37 38 COT8 39 VGA_HS 40 VGA_VS 41 VGA_B 42 VGA_G 43 VGA_R 44 RELAY ENCODER1 45 ENCODER2 46 47 TXD 48 RXD J5 I/O10 I/O9 XUNG I/O8 I/O7 KEY PAD KEY PAD PWM2 I/O6 I/O5 PWM1 I/O4 I/O3 I/O2 I/O1 DC2B DC2A DC1B DC1A LAN_RESET LAN_CS LAN_CLK LAN_SO LAN_SI KEY PAD KEY PAD ADC 3.3V 22pF stm32f 103v e PH1 PC14 TMS Vref- 12 72 71 70 69 68 67 66 65 64 63 62 61 60 59 58 57 56 55 54 53 52 51 PA13 PA12 PA11 PA10 PA9 PA8 PC9 PC8 PC7 PC6 PD15 PD14 PD13 PD12 PD11 PD10 PD9 PD8 PB15 PB14 PB13 PB12 20 Y 21 8M VSS1 VSS4 VSS3 VSS2 VSS5 VSSA C22 3.3V 49 27 99 74 10 19 36 35 104 104 104 RESET TCK TMS stm32f103VE 22pF I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 3.3V 3.3V 40 39 63 64 65 66 67 68 69 70 71 72 KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD ENCODER1 ENCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 GND GND 5V 5V KEY PAD1 KEY PAD2 KEY PAD3 KEY PAD4 KEY PAD5 KEY PAD6 KEY PAD7 KEY PAD8 ENCODER1 EMCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP 37 38 PE2 PE3 PE4 PE5 PE6 PC13 PC0 PC1 PC2 PC3 PA0 PA1 PA2 100n Vref+ VBAT 15 16 17 18 23 24 25 3.3V VDD5 VDDA VDD4 VDD1 VDD2 VDD3 COT2 COT1 LD3 WP SDA SCL LD2 LD1 LD0 LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0 EN RW RS COT3 COT4 COT5 COT6 COT7 LOA KEY PAD KEY PAD KEY PAD KEY PAD C309 80 79 78 77 76 75 74 73 LD0 LD1 LD2 LD3 PA3 PA4 PA5 PA6 PA7 PC4 PC5 PB0 PB1 PB2 PE7 PE8 PE9 PE10 PE11 PE12 PE13 PE14 PE15 PB10 PB11 COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 12 13 14 15 98 97 96 95 93 92 91 90 89 88 87 86 85 84 83 82 81 80 79 78 77 76 U2 LD1 LD2 LD3 LD4 LAN SO LAN SI LAN CLK LAN CS LAN RESET RXD TXD RESET/MCLR/TCK_FPGA MISO/PGD/TDO_FPGA/TCK_ARM SCK/PGC/TMS MOSI/AUX/TDI C311 PE1 PE0 PB9 PB8 PB7 PB6 PB5 PB4 PB3 PD7 PD6 PD5 PD4 PD3 PD2 PD1 PD0 PC12 PC11 PC10 PA15 PA14 RS RW EN LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA 24 LAN_SO 25 LAN_SI LAN_CLK 26 27 LAN_CS LAN_RESET28 29 RXD 30 TXD 31 RESET 32 TCK 33 TMS 34 U11 core v er1.1 10 11 23 22 21 20 19 18 17 16 RS RW EN LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA Luận văn thạc sĩ kỹ thuật CON10A reset D54 LED   KEY PAD1 KEY PAD2 KEY PAD3 KEY PAD4 KEY PAD5 KEY PAD6 KEY PAD7 KEY PAD8 ENCODER1 EMCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP 36 35 3.3V D54 LED 3.3V 3.3V 15pF U13 C1 EN VCC GND OUT 50Mhz U3 VIN VOUT ADJ Vout 1.2V 1.2V LM117 Thach anh 50M 3.3V C9 104 C8 104 C2 104 1.2V C3 104 C4 104 C5 104 C6 104 C7 104 C10 104 C11 104 C12 104 C13 104 KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD ENCODER1 ENCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 24 25 26 27 28 30 32 40 41 42 43 44 45 47 48 51 52 53 55 57 58 59 60 63 64 65 67 69 70 71 72 73 74 75 76 79 80 81 86 23 29 138 127 116 102 95 77 66 46 54 R14 R13 R12 1k 1k 1k 15 14 20 U12 EP2C5T144C8N VCCIO1 VCCIO1 VCCIO1 VCCIO2 VCCIO2 VCCIO2 VCCIO3 VCCIO3 VCCIO3 VCCIO4 VCCIO4 VCCIO4 VCCD_PLL2 VCCA_PLL2 VCCD_PLL1 VCCA_PLL1 VCCINT VCCINT VCCINT VCCINT TDO TMS TCK TDI DCLK DTA0 nCONFIG 83 82 40 39 I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 63 64 65 66 67 68 69 70 71 72 I/O1 I/O2 I/O3 I/O4 I/O5 I/O6 I/O7 I/O8 I/O9 I/O10 R11 1k 3.3V 3.3V 41 42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57 58 59 60 61 62 3.3V GND GND 5V 5V KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD KEY PAD ENCODER1 ENCODER2 PWM2 PWM1 DC1A DC1B DC2A DC2B RELAY XUNG ADC SDA SCL WP 37 38 LAN_SO LAN_SI LAN_CLK LAN_CS LAN_RESET RXD TXD CONF_DONE nTATUS 10 11 RS RW EN LED1 LED2 LED3 LED4 LED5 LED6 LED7 LED8 CON10A COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 10 11 12 13 IO/ADSO IO/nCSO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO/INIT_DONE IO/nCEO IO IO IO IO CLK7 CLK6 CLK5 CLK4 CLK3 CLK2 CLK1 CLK0 IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO IO 88 89 90 91 22 21 18 17 144 143 142 141 139 137 136 135 134 133 132 129 126 125 122 121 120 119 118 115 114 113 112 104 101 100 99 97 96 94 93 92 87 50Mhz VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA LD3 LD2 LD1 LD0 LED7 LED6 LED5 LED4 LED3 LED2 LED1 LED0 EN RW RS COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 I/O10 I/O9 PLL1_OUTp PLL2_OUTp 3.3V COT1 COT2 COT3 COT4 COT5 COT6 COT7 COT8 80 79 78 77 76 75 74 73 TDO TMS TCK TDI 3.3V 31 103 10 LD0 LD1 LD2 LD3 1.2V nCE MSEL1 MSEL0 GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND GND_PLL1 GND_PLL2 GND_PLL2 GND_PLL1 GNDA_PLL2 GNDA_PLL1 TDI LD1 LD2 LD3 LD4 LAN SO LAN SI LAN CLK LAN CS LAN RESET RXD TXD RESET/MCLR/TCK_FPGA MISO/PGD/TDO_FPGA/TCK_ARM SCK/PGC/TMS MOSI/AUX/TDI 12 13 14 15 1 16 84 85 68 33 19 140 130 128 123 117 111 105 98 78 61 56 49 39 34 106 108 36 110 38 J5 TCK TDO TMS 24 LAN_SO 25 LAN_SI LAN_CLK 26 27 LAN_CS LAN_RESET28 29 RXD 30 TXD 31 TCK 32 TDO 33 TMS 34 TDI VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA 23 22 21 20 19 18 17 16 1 U11 core v er1.1 107 109 35 37 50 62 131 124 R16 R15 10k 10k 3.3V 2 2 RS RW EN LED0 LED1 LED2 LED3 LED4 LED5 LED6 LED7 VGA_HS VGA_VS VGA_B VGA_G VGA_R PS2_CLK PS2_DATA LOA Hình 3-64: Sơ đồ nguyên lý nhân chip ARM   Hình 3-65: Sơ đồ nguyên lý nhân chip FPGA cyclone II 69     Luận văn thạc sĩ kỹ thuật 3.1.5 Thiết kế mạch in Sau thiết kế xong mạch nguyên lý nhóm tiến hành chuyển sang thiết kế mạch in chương trình Layout Plus phần mềm OrCAD   Hình 3-66: Sơ đồ layout toàn hệ thống 3.1.6 Chế tạo kit Sau thiết kế xong mạch in, nhóm đặt sản xuất mạch hàn linh kiện lên mạch Để trở thành thiết bị thực hành cho phòng thí nghiệm có tính di động cao, nhóm nghiên cứu thiết kế vali cho kit phát triển Cấu trúc vali chia thành ngăn chứa kit, “bộ não” dây cáp nguồn, dây cáp nạp Ngoài toàn phần phía bên vali đệm lớp mút mềm giữ cố định mạch tránh phần tác động ngoại lực gây ảnh hưởng tới chất lượng tuổi thọ làm việc kit phát triển Tài liệu hướng dẫn sử dụng tài liệu thực hành cho dòng chip thiết kế kèm theo kit phát triển Người sử dụng tiếp cận nắm bắt 70     Luận văn thạc sĩ kỹ thuật thao tác kit phát triển cách nhanh chóng thông qua tài liệu hướng dẫn sử dụng Tài liệu thực hành cho dòng chip thiết kế đảm bảo yêu cầu ngắn gọn, dễ hiểu, rõ ràng để giúp người sử dụng cảm thấy thoải mái, hứng thú thực thực hành kit.    Hình 3-67: Sơ đồ chức khối   Hình 3-68: Thành phẩm hoàn chỉnh 71     Luận văn thạc sĩ kỹ thuật   Hình 3-69: Vali đựng kit 3.1.7 Đo đạc, kiểm tra đánh giá Sau hoàn thiện sản phẩm nhóm chạy thử thí nghiệm cho kết tốt - Mạch chạy ổn định thời gian 72h liên tục - Các modul chạy chức theo yêu cầu thí nghiệm 3.2 Xây dựng thực hành 3.2.1 Các thực hành cho chip FPGA EP2C5T144C8 Kit BKFET sử dụng Chip FPGA EP2C5T144C8 thuộc dòng Cyclone II hãng Altera Sử dụng phần mềm Quartus II xây dựng thực hành Quartus II phần mềm thiết kế, tổng hợp mạch hãng Altera, thuộc gói phần mềm phát triển hỗ trợ thiết kế dòng chip CPLD FPGA hãng Phần mềm Quartus II có chức sau: - Cung cấp môi trường thiết kế cho ngôn ngữ mô tả phần cứng - Cho phép thiết kế theo khối mạch hay dạng sơ đồ nguyên lý - Cung cấp phương thức tạo file nhớ mở rộng hex, mif 72     Luận văn thạc sĩ kỹ thuật - Tích hợp công cụ phân tích, mô thiết kế thời gian, độ trễ thiết kế - Cho phép gán chân cho thiết kế cách đơn giản Bằng cách sử dụng phần mềm, nhóm nghiên cứu xây dựng số thực hành Điện tử số, Thiết kế hệ thống số từ đơn giản đến phức tạp kết nối switch, led đơn ghép kênh; quét led thanh, đếm; giao tiếp máy tính với Kit qua cổng USB, giao tiếp hình VGA… 3.2.2 Các thực hành cho chip AVR Atmega128 KIT BKFET tích hợp nhân Atmega128 sử dụng dao động ngoại (thạch anh) với tần số 8Mhz AVR Studio phần mềm hỗ trợ lập trình cho AVR ngôn ngữ Assembly chất không hỗ trợ ngôn ngữ C, nhiên cho phép tích hợp trình dịch C công cụ Win AVR Bằng cách sử dụng phần mềm, nhóm nghiên cứu xây dựng số thực hành Vi điều khiển, Hệ thống nhúng sử dụng chip AVR điều khiển led đơn, led thanh, led matrix; hiển thị LCD; điều khiển tốc độ động cơ… Ví dụ: Viết chương trình thực bật tắt đèn LED với thời gian LED sáng 500ms, thời gian LED tắt 500ms Biết đèn LED kết nối PORTE KIT BKFET Thực mô phần mềm Proteus nạp trực tiếp lên KIT để kiểm chứng kết Phân tích toán:  Theo mục 2.2.1 Chương 2, phần cấu tạo LED đơn cho ta biết nguyên lý kết nối - LED sáng cần cấp mức logic “0” - LED tắt cần cấp mức logic “1”  Yêu cầu đề bật tắt đèn, tín hiệu cần điều khiển AVR Dựa vào để xác định đường tín hiệu chân giao tiếp với LED phải output 73     Luận văn thạc sĩ kỹ thuật Hướng dẫn lập trình: Bước 1: tạo Project mới: LED_DON Bước 2: soạn thảo code #include #define F_CPU 8000000UL #include int main(void) { DDRE = 0b11111111; PORTE = 0b11111111; while(1) { PORTE = 0b00000000; _delay_ms(500); 10 PORTE = 0b11111111; 11 _delay_ms(500); 12 }} Bước 3: tiến hành kiểm tra lỗi: ấn phím F7 công cụ vào mục Buid -> Buid Bước 4: tiến hành mô proteus, kiểm chứng kết Bước 5: Nạp chương trình lên KIT so sánh, đánh giá với kết mô Giải thích đoạn chương trình: Dòng Cho phép trình biên dịch sử dụng thư viện I/O AVR nằm folder avr chứa cài để biên dịch Dòng số định nghĩa tần số, ta sử dụng thạch 8Mhz tương đương với 8000000, dòng bắt buộc phải khai báo có sử dụng thư viện delay cung cấp sẵn, không khai báo mặc định trình biên dịch định nghĩa tần số sử dụng Mhz Dòng khai báo sử dụng thư viện delay Dòng khai báo hàm main(), main hàm thực thi chương trình nạp lên chip Dòng khai báo PORTE có hướng output, cho 74     Luận văn thạc sĩ kỹ thuật phép chân tín hiệu điều khiển ngoại vi, trường hợp điều khiển đèn LED, “0b” báo cho trình biên dịch biết ta sử dụng mã nhị phân cho dãy số phía sau Dòng điều khiển tín hiệu PORTE, trường hợp tất chân có mức logic “1” đồng nghĩa khởi tạo LED tắt thời điểm đầu Dòng vòng lặp vô tận điều kiện đúng, toàn đoạn chương trình phía vòng lặp thực từ xuống dưới, tới câu lệnh cuối tự động nhảy đến câu lệnh Dong đầu có mức logic “0”(0V) cho phép LED sáng Dòng tạo khoảng thời gian trễ theo đề 500ms Tương tự với dòng 10 11 Cụ thể yêu cầu thực hành hướng dẫn chi tiết bước thực hành tham khảo tài liệu hướng dẫn sử dụng tài liệu hướng dẫn thực hành kèm kit 3.3 Đánh giá kết Học viên nhóm nghiên cứu thực nghiên cứu, thiết kế chế tạo thành công kit phát triển phục vụ cho đào tạo có chức tương đương với kit hãng sản xuất chuyên nghiệp với giá thành thấp Bên cạnh đó, nhóm thực xây dựng thí nghiệm thực hành dựa kit phát triển chế tạo cho số môn học Điện tử số, Kiến trúc máy tính, Kỹ thuật vi xử lý, Lập trình vi mạch, thiết kế hệ thống nhúng, ghép nối mạng máy tính thông tin di động phù hợp với sinh viên bậc đại học, học viên bậc cao học Kết nghiên cứu mang lại cho Viện Điện tử - Viễn thông nói riêng, Đại học Bách khoa Hà Nội nói chung lợi ích quan trọng như: (1) ứng dụng công nghệ chủ động xây dựng trang thiết bị phục vụ giảng dạy với chi phí thấp, (2) tạo điều kiện cho học viên/sinh viên có nhiều hội thực hành tiếp xúc công nghệ tiên tiến, nâng cao chất lượng cho giảng từ nâng cao chất lượng đào tạo, (3) nâng cao vị Đại học Bách khoa Hà Nội lĩnh vực Điện tử Viễn thông (4) phù hợp với định hướng phát triển nhà trường việc nội địa hóa sản phẩm thí nghiệm thực hành.    75     Luận văn thạc sĩ kỹ thuật KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN Sau thời gian thực hiện, học viên với nhóm nghiên cứu hoàn thành đề tài đáp ứng yêu cầu đặt Mạch kit đời hy vọng đáp ứng nhu cầu giảng dạy cho sinh viên ngành điện tử viễn thông Nhóm tiếp tục xây dựng hoàn thiện tài liệu hướng dẫn thực hành giúp người sử dụng có kiến thức hiểu sâu môn học có liên quan Qua trình nghiên cứu thiết kế chế tạo kit phát triển, luận văn làm số công việc sau: - Đã làm chủ quy trình bước thiết kế, chế tạo kit phát triển đa - Đã làm chủ phương pháp đánh giá, tiêu chí đánh giá kit phát triển - Sản xuất, chế tạo 01 kit phát triển đa - Xây dựng tài liệu thiết kế quy trình chế tạo, hướng dẫn sử dụng - Xây dựng thực hành với tiêu chí “phòng thực hành di động” cho học phần: Điện tử số, Kỹ thuật vi xử lý, Kiến trúc máy tính, Thiết kế hệ thống số Nhóm nghiên cứu mong muốn sử dụng sản phẩm vào giảng dạy thực hành cho sinh viên ngành Điện tử - Viễn thông trường Đại học Bách khoa Hà Nội Trong tương lai gần tiếp tục đề xuất nghiên cứu xây dựng triển khai phòng thực hành lưu động phục vụ công tác phát triển đào tạo từ xa 76     Luận văn thạc sĩ kỹ thuật TÀI LIỆU THAM KHẢO Altera Corporation, Cyclone II Device Handbook Available at: https://www.altera.com/products/fpga/cyclone-series/cyclone-ii/support.html [Accessed 15 March 2016] Atmel Corporation, ATMega128 DataSheet Available at: http://www.atmel.com/images/doc2467.pdf [Accessed 15 March 2016] Maxim Intergrated Inc, DS1307 Datasheet Available at: https://www.maximintegrated.com/en/products/digital/real-timeclocks/DS1307.html [Accessed 15 March 2016] Microchip Technology Inc, ENC28J60 Datasheet Available at: www.microchip.com/ENC28J60 [Accessed 15 March 2016] Prolific Technology Inc, PL2303 Datasheet Available at: http://www.prolific.com.tw/US/support.aspx, [Accessed 15 March 2016] Shanghai SIMcom Wireless Solutions Ltd, SIM900 Hardware Design Available at: http://simcomm2m.com/module/detail.aspx?id=3 [Accessed 15 March 2016] https://www.altera.com/ [Accessed 15 March 2016] http://www.xilinx.com/ [Accessed 15 March 2016] 77   ... thực nghiên cứu, thiết kế, chế tạo kit phát triển phục vụ nhu cầu thực hành cho sinh viên ngành điện tử viễn thông Một số kit phát triển giáo dục DE-SG8V1 Trung tâm nghiên cứu đào tạo thiết kế. .. DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI NGUYỄN QUỐC BẢO NGHIÊN CỨU, THIẾT KẾ VÀ CHẾ TẠO KIT PHÁT TRIỂN PHỤC VỤ CHO ĐÀO TẠO NGÀNH ĐIỆN TỬ VIỄN THÔNG LUẬN VĂN THẠC SĨ KHOA HỌC KỸ THUẬT VIỄN... văn: Nguyễn Quốc Bảo Đề tài luận văn: Nghiên cứu, thiết kế chế tạo kit phát triển phục vụ cho đào tạo ngành điện tử viễn thông Chuyên ngành: Kỹ thuật viễn thông Mã số SV: CB140231 Tác giả, Người

Ngày đăng: 22/07/2017, 23:04

Từ khóa liên quan

Mục lục

  • Lời cam đoan

  • Mục lục

  • Mở đầu

  • Chương 1

  • Chương 2

  • Chương 3

  • Kết luận và hướng phát triển của đề tài

  • Tài liệu tham khảo

Tài liệu cùng người dùng

Tài liệu liên quan