Thiết kế system on chip (soc) và thực hiện bằng FPGA

74 417 4
Thiết kế system on chip (soc) và thực hiện bằng FPGA

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

LỜI CAM ĐOAN T i xin hƣớng m o n t i nghi n ứu ủ t i ho n to n o t i tự l m ƣới n ủ thầy giáo Ts Nguyễn Kim Khánh, Viện công nghệ thông tin truy n thông - Đại học Bách Khoa Hà Nội C số liệu kết tr nh ho n to n trung thự v ng ố ất ứ hƣ t ng ƣợ Các tài liệu tham khảo sử dụng lu n v n thống kê tài liệu tham khảo) hoặ y lu n v n l ng tr nh n o u ƣợc d n nguồn (có bảng ƣợc ồng ý trực tiếp tác giả Nếu xảy i u kh ng úng nhƣ lời m o n tr n t i xin hịu hoàn toàn trách nhiệm trƣớc Viện v Nh trƣờng Hà Nội, ngày 18 tháng 12 năm 2013 Tác giả Trần Văn Tiến LỜI CẢM ƠN Để hoàn thành luận văn tốt nghiệp này, xin gửi lời cảm ơn chân thành tới tập thể thầy giáo, cô giáo trường Đại học Bách Khoa Hà Nội nói chung, viện Công nghệ thông tin Truyền thông, môn Kĩ thuật máy tính, đào tạo cho kiến thức, kinh nghiệm qúy báu suốt thời gian học tập rèn luyện Tôi xin chân thành cảm ơn giúp đỡ thầy Nguyễn Kim Khánh Trong trình thực đề tài thầy tận tình hướng dẫn, gợi cho nhiều ý tưởng để hoàn thành luận văn cách tốt Cuối lời cảm ơn chân thành tới gia đình, bạn bè động viên, góp ý tạo điều kiên tốt để hoàn thành luận văn tốt nghiệp Tuy nhiên, thời gian trình độ có hạn nên đồ án chắn không tránh khỏi thiếu sót, mong đóng góp ý kiến thầy, cô toàn thể bạn Tôi xin chân thành cảm ơn Hà Nội, ngày 18 tháng 12 năm 2013 Tác giả: Trần Văn Tiến MỤC LỤC LỜI CAM ĐOAN LỜI CẢM ƠN MỤC LỤC DANH MỤC TỪ VIẾT TẮT, THUẬT NGỮ DANH MỤC CÁC HÌNH DANH MỤC CÁC BẢNG MỞ ĐẦU 10 Lý chọn đề tài 10 Lịch sử nghiên cứu 10 Mục đích, đối tƣợng phạm vi nghiên cứu 10 Tóm tắt luận điểm đóng góp đồ án 10 Phƣơng pháp nghiên cứu 11 NỘI DUNG 12 CHƢƠNG TỔNG QUAN VỀ SYSTEM ON CHIP (SOC) 12 1.1 Cơ sở lý thuyết 12 1.1.1 Sự r 1 SoC v ời SOC [2] 12 ặ iểm 14 1.1.3 Công cụ lựa chọn 16 1.2 Quy trình thiết kế System on Chip 17 Gi i oạn thiết kế Front end 18 2 Gi i oạn thiết kế Back end 21 CHƢƠNG TỔNG QUAN VỀ CÔNG NGHỆ FPGA 22 2.1 Giới thiệu FPGA [1][4] 22 2.2 Kiến trúc chung FPGA 23 1.2.1 Khối logic FPGA 23 1.2.2 Hệ thống mạch liên kết 24 1.2.3 Các phần tử tích hợp sẵn 25 2.3 Ngôn ngữ phần cứng HDL 25 2.3.1 Giới thiệu ngôn ngữ mô tả phần cứng VHDL 25 2.3.3 Cấu trúc mô hình hệ thống mô tả VHDL 27 2.3.4 Trình tự thiết kế chíp dựa VHDL 31 CHƢƠNG THIẾT KẾ SYSTEM ON CHIP VÀ THỰC HIỆN BẰNG FPGA 32 3.1 Thiết kế SoC 32 3 Sơ tổng quan thiết kế 32 3.3.2 Giới thiệu thành phần [1] 32 3.3.2.1 Bộ vi xử lý 32 3.3.2.2.Bộ nhớ 33 3.3.2.3 Kết nối 36 3.3.2.4.Các tiêu chuẩn giao tiếp vào 37 3.2 Cài đặt thử nghiệm 41 Sơ thiết kế 41 3.2.2 Thiết kế MIPS 41 3.2.2.1 Lệnh MIPS 42 3.2.2.2 Các khối ản 45 3.2.2.2.1 Khối PC: 45 3.2.2.2.2 Khối Instruction Memory: 45 3.2.2.2.3 Khối ADD 46 3.2.2.2.4 Khối mux2x5to5 46 3.2.2.2.5 Khối mux2x32to32 46 3.2.2.2.6 Khối register file 47 3.2.2.2.7 Khối ALU 48 3.2.2.2.8 Khối Data Memory 48 3.2.2.2.9 Khối Sign Extend 49 3.2.2.3 Các khối i u khiển 51 3.2.2.3.1 The Control Unit 51 3.2.2.3.2 ALU Control 53 2 Sơ khối MIPS Processor 55 3225 X ịnh xung Clock 55 3.2.2.6 Kiểm tra hệ thống 57 2 C i ặt file hệ thống 57 2 Sơ Netlist 60 3.2.2.6.3 Mô 60 3.2.2.4 Xây dựng khối hiển thị LCD 61 3.2.2.5 Xây dựng khối hiển thị VGA 62 3.2.2.5.1 Giới thiệu VGA 62 3.2.2.5.2 Kỹ thu t hiển thị Video 63 3.2.2.5.3 Video Refress 64 3.2.2.5 FPGA ự tr n hệ tín hiệu VGA 66 3.2.2.5.5 Char – Rom 67 3.2.2.5.6 Sơ hiển thị kí tự VGA 68 3.2.2.6 Kết thực kit De2 69 CHƢƠNG KẾT LUẬN 72 TÀI LIỆU THAM KHẢO 74 DANH MỤC TỪ VIẾT TẮT, THUẬT NGỮ Số thứ tự Từ viết tắt Ý nghĩa SoC System on Chip FPGA Field-programmable gate array LCD Liquid Crystal Display ADC Analog to Digital Converter DAC Digital to Analog Converter SOPC System on a Programmable Chip VGA Video Graphics Array RTL Register-transfer level DANH MỤC CÁC HÌNH HÌNH 1.1 SỰ GIA TĂNG MẬT ĐỘ TRANSITOR 12 HÌNH 1.2 GIẢM GIÁ THÀNH SẢN PHẨM 13 HÌNH MÔ HÌNH CƠ BẢN SOC 14 HÌNH 1.4 QUY TRÌNH THIẾT KẾ SOC 17 HÌNH 1.5 RTL CODING 18 HÌNH 1.6 DYNAMIC VERIFICATION 19 HÌNH 1.7 SYNTHESIS AND SCAN INSERTION 20 HÌNH 1.8 FORMAL VERIFICATION 21 HÌNH 2.1 CẤU TRÚC TỔNG THỂ CỦA MỘT FPGA 23 HÌNH 2.2 KHỐI LOGIC 24 HÌNH 2.3 KIẾN TRÚC THỰC MỘT THỂ ENTITY 28 HÌNH 2.4 VÍ DỤ CỦA MỘT MÔ HÌNH VHDL CÓ THỨ BẬC 29 HÌNH 2.5 KIẾN TRÚC THỰC THỂ TESSTBENCH 30 HÌNH 2.6 QUY TRÌNH THIẾT KẾ CHIP DỰA TRÊN VHDL 31 HÌNH SƠ ĐỒ TỔNG QUAN THIẾT KẾ SOC 32 HÌNH 3.3 AMBA BUS 36 HÌNH 3.4 CORECONNECT BUS 37 HÌNH 3.5 PARALLEL PORT TRANSFER OF AN 8-BIT DATA VALUE 38 HÌNH 3.6 RS-232C SERIAL INTERFACE TRANSMISSION OF AN 8-BIT DATA VALUE 39 HÌNH 3.7 THE TWO SPI SLAVE DEVICECONFIGURATION OPTIONS 40 HÌNH 3.8 I2C INTERFACE SERIAL TRANSMISSION OF AN 8-BIT DATA VALUE 40 HÌNH 10 ĐỊNH DẠNG LỆNH MIPS 42 HÌNH 3.11 CON TRỎ PC 45 HÌNH 3.12 KHỐI INSTRUCTION MEMORY 45 HÌNH 3.13 BỘ CỘNG 46 HÌNH 3.16 KHỐI INSTRUCTION FETCH STAGE 47 HÌNH 3.17 KHỐI REGISTER FILE 48 HÌNH 3.18 KHỐI ALU 48 HÌNH 3.22 KHỐI CU 51 HÌNH 23 SƠ ĐỒ KHỐI MIPS 55 HÌNH 24 CÀI ĐẶT FILE 59 HÌNH 3.25 NETLIST 60 HÌNH 3.26 KẾT QUẢ MÔ PHỎNG 60 HÌNH 3.28 VGA IMAGE - 640 BY 480 PIXEL LAYOUT 65 HÌNH 3.29 VERTICAL SYNC SIGNAL TIMING FOR 640 BY 480 AT 60HZ 65 HÌNH 3.30 HORIZONTAL SYNC SIGNAL TIMING FOR 640 BY 480 AT 60HZ 66 HÌNH 3.31 FPGA BASED GENERATION OF VGA VIDEO SIGNALS 67 HÌNH 3.32 TRUY CẬP FONT KÍ TỰ SỬ DỤNG ROM 67 HÌNH 33 SƠ ĐỒ VGA 68 HÌNH 3.23 TỔNG HỢP HỆ THỐNG 69 HÌNH 3.35 KẾT QUẢ HIỂN THỊ TRÊN LCD 70 HÌNH 3.36 KẾT QUẢ HIỂN THỊ VGA 71 DANH MỤC CÁC BẢNG BẢNG 1.1 CÁC CÔNG CỤ PHÁT TRIỂN 16 BẢNG ĐẶC TÍNH CỦA SOFT CORE 33 BẢNG 3.2 SO SÁNH SỰ KHÁC BIỆT CÁC BỘ NHỚ 35 BẢNG 3.3 BẢNG LỆNH MIPS 43 BẢNG 3.4 MÔ TẢ TÍN HIỆU CU 52 BẢNG 3.5 CÁC CHÂN LCD 62 BẢNG 3.6 BẢNG CÁC CHÂN VGA 68 MỞ ĐẦU Lý chọn đề tài Hệ thống chip SoC (System on Chip) l xu hƣớng phát triển cho sản phẩm iện tử ngày Mụ í hl ƣ tất module với n ng kh lên chip sử dụng công nghệ FPGA Và ngày có nhi u công ty chuyên thiết kế module riêng biệt ho phép ngƣời thiết kế hệ thống lựa chọn module phù hợp với yêu cầu, tích hợp vào hệ thống xây dựng ứng dựng cho sản ầu t ng module riêng lẻ T xu phẩm mà xây dựng chi tiết t hƣớng phát thực tế, v y chọn tài : Thiết kế SoC (System on Chip) thực FPGA ể nghiên cứu tìm hiểu cách thức thực xây dựng hệ thống SoC, tìm hiểu công nghệ FPGA T ó ó ƣợc tài liệu ể bạn học viên tiếp tục nghiên cứu phát triển hệ thống System on Chip Lịch sử nghiên cứu Lu n v n ƣợc thực ƣới hƣớng d n thầy giáo Ts Nguyễn Kim Khánh, Viện Công nghệ thông Truy n thông-Đại học Bách Khoa Hà Nội Lu n v n ƣợ hƣớng d n xem xét i u chỉnh, báo cáo theo lị h u ặn tuần/ lần với giáo vi n hƣớng d n Lu n v n ƣợc bắt ầu nghiên cứu t tháng 3/2013 hoàn thành vào tháng 09/2013 Mục đích, đối tƣợng phạm vi nghiên cứu Tìm hiểu ƣợc quy trình xây dựng thiết kế SoC Tìm hiểu công nghệ FPGA Tìm hiểu thiết kế Mips Processor Thực nghiệm kit De2 Tóm tắt luận điểm đóng góp đồ án Mục tiêu lu n v n l nghi n ứu hệ thống System on Chip, quy trình thiết kế System on Chip, tìm hiểu công nghệ FPGA Tìm hiểu thiết kế Mips Processor, tìm hiểu thiết kế module hiển thị LCD VGA kid De2 Thực kết kit De2 Bố cục lu n v n tốt nghiệp 10 3.2.2.6.2 Sơ đồ Netlist Hình 3.25 Netlist 3.2.2.6.3 Mô Hình 3.26 Kết mô 60 3.2.2.4 Xây dựng khối hiển thị LCD Liquid Crystal Display ( hình tinh thể lỏng) Có nhi u loại LCD với kích cỡ khác (16x1,16x2,20x2) Trong kit de2 ta sử dụng loại 16x2 : 16 cột hàng Hình 3.27 Khối LCD Chứ n ng chân Kí hiệu Mứ M tả VSS 0V Nguồn GND VDD 5V Nguồn 5V VO Variable Chỉn Pin No Pin De2 ộ tƣơng phản K1 RS H/L H : Dũ liệu L mã lệnh K4 R/W H/L H : Đo L Ghi K3 E H,H->L Tín hiệu phép J1 BD0 H/L Bus ữ liệu J2 DB1 H/L Bus ữ liệu H1 DB2 H/L Bus ữ liệu 61 ho 10 H2 DB3 H/L Bus ữ liệu 11 J4 DB4 H/L Bus ữ liệu 12 J3 DB5 H/L Bus ữ liệu 13 H4 DB6 H/L Bus ữ liệu 14 H3 DB7 H/L Bus ữ liệu 15 A - Đèn LCD 16 K - Đèn LCD Bảng Các chân LCD Khai báo VHDL COMPONENT LCD_Display PORT(Hex_Display_Data: IN STD_LOGIC_VECTOR ((Num_Hex_Digits*4)-1 DOWNTO0); reset, clock_48MHz: IN STD_LOGIC; LCD_RS, LCD_E: OUT STD_LOGIC; LCD_RW: INOUT STD_LOGIC; DATA_BUS: INOUT STD_LOGIC_VECTOR(7 DOWNTO0)); END COMPONENT; 3.2.2.5 Xây dựng khối hiển thị VGA 3.2.2.5.1 Giới thiệu VGA VGA (hay Video Graphics Array) l huẩn hiển thị tr n m n h nh m y tính ƣợ giới thiệu n m 1987 t phí IBM ùng với òng m y tính PS/2 Nó ó thể hiểu l thiết ị xuất họ ƣới ạng Vi eo th nh t ng ãy r m n h nh v màu iến ổi li n tụ ùng lú ó thể hiển thị 256 với ộ phân giải 640 òng hi u ng ng v 480 òng hi u ọ Chuẩn VGA tƣơng thí h lùi với tất ả CGA, MDA EGA 62 huẩn hiển thị trƣớ ó nhƣ Chuẩn VGA o EGA kh ng hỉ v nghệ VGA ho phép giữ vững tỉ lệ o giãn ủ Chuẩn VGA ũng ùng lƣợng kh ng hạn hế ộ phân giải òn v ng h nh họ tr n m n h nh m y tính ng nghệ tín hiệu ầu v o ạng tƣơng tự ể tạo r số m u sắ iến ổi theo ải li n tụ dùng công nghệ m n h nh số n n ị giới hạn v số lƣợng Chuẩn VGA ủ IBM ƣợ r o m mứ ó EGA ƣờng ộ m u hãng sản xuất thiết ị nâng l n o ƣ ộ i u hợp VGA (Video Graphics Adapter – C r m n h nh) ó khả n ng hiển thị th m hế ộ họ ổ sung Đó l òng hi u ng ng v 600 òng hi u ọ hiển thị ộ phân giải 1024 x 768 hoặ hế ộ Super VGA ó ộ phân giải 800 pixel v ộ i u hợp o mứ o ấp ho phép ộ tối thiểu 256 m u (8 it) Một tín hiệu video VGA có tín hiệu tích cực Hai tín hiệu tƣơng thí h với mức logic TTL, ồng ngang ồng dọc, ƣợc sử dụng ể ồng hóa video Ba tín hiệu tƣơng tự với mức 0,7-1,0-Volt ƣợc sử dụng ể kiểm soát màu sắc Các tín hiệu màu ỏ, xanh, Blue Chúng thƣờng ƣợc gọi chung tín hiệu RGB Bằng h th y ổi mứ ộ tƣơng tự ba tín hiệu RGB tất màu khác ƣợc tạo 3.2.2.5.2 Kỹ thuật hiển thị Video Công nghệ ầu ti n ƣợc sử dụng ể hiển thị hình ảnh video ịnh chất tín hiệu video Mặc dù hình LCD sử dụng phổ biến , thành phần hính m n h nh m y tính VGA ầu hình CRT màu Cathode Ray Tube Các chùm tia iện tử phải ƣợc quét hình chuỗi ng ng ể tạo hình ảnh Sử dụng t trƣờng hoặ ƣờng iện ể làm chệ h hƣớng tia iện tử vào vị trí thích hợp mặt hình CRT Các thông tin màu RGB tín hiệu vi eo ƣợc sử dụng ể kiểm soát sức mạnh củ ti tạo r ti ƣợc b t theo tín hiệu video t iện tử Ánh s ng ƣợc ộng ến dấu chấm màu phosphor dòng b mặt CRT B mặt hình CRT màu có 63 chứa loạt hàng với ba phosphor khác Mỗi loại phosphor ƣợc sử dụng cho m u ản ỏ , xanh xanh lam Ở ịnh dạng VGA tiêu chuẩn, hình có chứa 640 x 480 nhân tố hình ảnh hoặ iểm ảnh Các tín hiệu video phải vẽ lại toàn hình 60 lần giây ể cung cấp cho chuyển ộng theo hình ảnh v giảm tƣợng rung Gi i oạn n y ƣợc gọi tố ể ộ l m tƣơi Mắt ngƣời phát nhấp nháy tỷ lệ làm 30 ến 60Hz Để giảm tƣợng rung t ộ l m tƣơi can thiệp t nguồn ánh sáng huỳnh quang , tố khoảng 70Hz ảnh ƣợ x o 60 Hz i ƣợc sử dụng hình máy tính Màu sắc iểm ịnh giá trị tín hiệu RGB tín hiệu quét iểm ảnh Trong chế ộ 640x480 pixel, với tố ộ l m tƣơi 60Hz ây l khoảng 40 ns cho iểm ảnh Một tín hiệu clock 25MHz có khoảng thời gian 40 ns Một tố o chút tạo tố ộ l m tƣơi ộ clock o 3.2.2.5.3 Video Refress Qu tr nh l m tƣơi m n h nh ầu gó tr n thời iểm t tr i s ng phải Ở uối h ng ầu ti n n tr i v vẽ iểm ảnh gi số h ng v thiết l p lại ể ột ầu ti n Mỗi h ng ƣợ sơn ho ến tất ả ị hỉ ột ƣợ iểm ảnh ã ƣợ hiển thị Một to n ộ m n h nh ã ƣợ sơn qu tr nh làm ầu lần nữ Vẽ ây C tín hiệu vi eo hoặ l m h nh ảnh ằng tín hiệu ồng ộ ọ h sử ụng quy tr nh s u ho m n h nh ầu hiển thị h nh ảnh hoặ khung h nh v m n h nh ầu gó tr n n tr i với iểm ảnh 0 C ồng ộ ng ng ho iết m n h nh ể l m h ng kh tín hiệu ủ 640 pixel Sau 480 òng iểm ảnh ƣợc làm với 480 tín hiệu ồng ngang, tín hiệu ồng dọc reset hình với góc bên trái trình tiếp tục Trong thời gian iểm ảnh liệu kh ng ƣợc hiển thị chùm tia trở v cột bên trái ể 64 bắt ầu quét ngang, tín hiệu RGB ƣợc thiết l p ể m u en (tất số không) Hình 3.28 VGA Image - 640 by 480 Pixel Layout Hình 3.29 Vertical Sync Signal Timing for 640 by 480 at 60Hz 65 Hình 3.30 Horizontal Sync Signal Timing for 640 by 480 at 60Hz Để cung cấp tùy chọn ầu thiết kế phức tạp, ầu video ƣợc phát triển cách sử dụng phần cứng bên FPGA Chỉ ó n m tín hiệu chân ƣợc yêu cầu, hai tín hiệu ồng ba tín hiệu màu RGB Một iện trở diode mạch ơn giản ƣợc sử dụng ể chuyển ổi tín hiệu ầu TTL t FPGA ến tín hiệu tƣơng tự iện áp thấp RGB cho tín hiệu video Đi u hỗ trợ hai cấp ộ cho tín hiệu liệu RGB v o ó tạo tổng cộng tám màu sắc FPGA Phase Locked Loop (PLL) ƣợc sử dụng ể tạo tín hiệu clock ồng hồ cho nhi u ộ phân giải video tỷ lệ làm 3.2.2.5.4 FPGA dựa hệ tín hiệu VGA Môt clock ồng hồ MHz 25,175, m ộ phân giải 640x480 tỉ lệ xấp xỉ 40ns ƣợc sử dụng ể chia ếm tạo tín hiệu ộng ngang tín hiệu ộng dọc Bổ xung ếm ể tạo ịa hàng cột Trong vài thiết kế ộ phân giải iểm ảnh ƣợc giảm t 640 x 480 tới ộ phân giải thấp cách sử dụng clock ồng hồ phân chia ếm hàng cột C ƣợ ịa hàng cột ƣ v o nhớ RAM cho liệu họa máy Char ROM ƣợc sử dụng ể hiển thị v n ản RAM ROM cần thiết ũng ƣợc thực bên chip FPGA 66 Hình 3.31 FPGA based generation of VGA Video Signals 3.2.2.5.5 Char – Rom Font chữ ƣợ lƣu ộ nhớ ROM v ƣợc thực FPGA Với nhớ hạn chế FPGA lựa chọn phù hợp hình hiển thị 40 ký tự 30 dòng Mỗi chữ, số, biểu tƣợng iểm ảnh 8x8 Để làm cho kí tự lớn chấm phông chữ t ng l n 2pixel o v y kí tự 16x16 Hình 3.32 Truy c p Font kí tự sử dụng ROM 67 3.2.2.5.6 Sơ đồ hiển thị kí tự VGA Hình 3.33 Sơ VGA Pin name Pin Chức Clock N2(50MHZ) 25-50MHz Clock VGA_RED E10 Tín hiệu Vi eo m u ỏ VGA_GREEN D12 Tín hiệu Vi eo x nh VGA_BLUE B12 Tín hiệu Vi eo x nh l m VGA_VSYNC D8 Tín hiệu ồng ộ ọ VGA_HSYNC A7 Tín hiệu ồng ộ ng ng Bảng Bảng Các chân VGA 68 3.2.2.6 Kết thực kit De2 Hình 3.23 Tổng hợp hệ thống 69 Hình 3.35 Kết hiển thị LCD 70 Hình 3.36 Kết hiển thị VGA 71 CHƢƠNG KẾT LUẬN Ngày nay, thiết bị nhúng ngày phát triển mạnh mẽ, phục vụ ngày tốt y u ầu ời sống, sinh hoạt củ on ngƣời Thiết kế SoC giải pháp phù hợp ể tạo ƣợc thiết kế nhanh chóng, tốn hi phí v Với mụ ti u ạt ƣợc hiệu xuất cao n ầu tìm hiểu thiết kế hệ thống SoC thực FPGA: ể tìm hiểu quy trình, cách thức xây dựng hệ thống SoC, thiết kế ƣợc hệ thống SoC hoàn chỉnh t i ã ạt ƣợc kết ịnh ũng nhƣ rút r ƣợc nhi u kinh nghiệm cho thân sau hoàn thành lu n v n n y Về lý thuyết: t i ã t m hiểu quy trình thiết kệ hệ thống SoC, tìm hiểu cộng nghệ FPGA ồng thời tìm hiểu n ng th nh phần tạo nên hệ thống SoC Về thực hành kết đạt đƣợc : T i ã thiết kế vi xử lý Mips singlecycle thực thi lệnh ơn giản, thiết kế ƣợc module hiển thị LCD VGA Ghép nối ể thực thi kết Kit De2 Trong qu tr nh l m t i ũng ã gặp kh ng khó kh n gi i oạn phần việc ịnh, t việc tiếp c n v ịnh hƣớng thực t i n việc tìm hiểu ũng nhƣ tiếp c n công cụ thự hi n Nhƣng ƣới trợ giúp tất ngƣời t i ã ần hoàn thành lu n v n ủ m nh ũng nhƣ ú rút nhi u kinh nghiệm phục vụ cho công việc sau Tuy nhiên thời gian hạn chế nên lu n v n òn iểm thiếu sót ịnh Hiện ũng d ng lại việc thiết kế hệ thống SoC ơn giản, vi xử lý Mips thực ƣợc số lệnh ản, thiết kế ƣợc module thị ản LCD VGA Tuy v y, lu n v n ã mở r hƣớng nghiên cứu phát triển thiết kế hệ thống SoC, trở thành tài liệu ể bạn học viên tiếp tục phát triển Dự v o ó ó thể thiết kế ầy ủ ộ vi xử lý Mips, thực thi nhi u lệnh xử lý multi y le pipeline… Thiết kế thêm IP Core ghép nối với hệ thống SoC Kết hợp ể sử dụng nhi u thành phần Kit De2 72 Cuối cùng, cho phép gửi lời cảm ơn hân th nh v sâu sắc tới cá nhân t p thể ã t n t nh giúp ỡ hoàn thành lu n v n mình: thầy giáo hƣớng d n TS Nguyễn Kim Khánh, công ty VTC Intecom, t p thể thầy cô giáo môn Kỹ thu t máy tính, viện Công nghệ thông tin truy n th ng trƣờng Đại học Bách Khoa Hà Nội ã giúp ỡ ể hoàn thành lu n v n Xin chân thành cảm ơn! 73 TÀI LIỆU THAM KHẢO [1] Rapid Prototyping of Digital Systems SOPC Edition : SOPC Edition (James-O.Hamblen) 2008, XVII [2] Computer Organization and Design, Fifth Edition (David A Patterson, John L Hennessy) - October 2013 [3] Computer System Design: System-on-Chip [Hardcover] (Michael J Flynn (Author), Wayne Luk (Author)) August 2011 [4] Advanced FPGA Design: Architecture, Implementation, and Optimization [Hardcover] (Steve kilts) June 2007 [5] Website : www.xilinx.com [6] Website : http://www.altera.com [7] Website : http://www.embeonline.com 74 ... 27 2.3.4 Trình tự thiết kế chíp dựa VHDL 31 CHƢƠNG THIẾT KẾ SYSTEM ON CHIP VÀ THỰC HIỆN BẰNG FPGA 32 3.1 Thiết kế SoC 32 3 Sơ tổng quan thiết kế 32 3.3.2... n ứu hệ thống System on Chip, quy trình thiết kế System on Chip, tìm hiểu công nghệ FPGA Tìm hiểu thiết kế Mips Processor, tìm hiểu thiết kế module hiển thị LCD VGA kid De2 Thực kết kit De2 Bố... quan v System on Chip, quy trình thiết kế v hƣớng i lu n v n xây ựng thiết kế hệ thống SoC Chƣơng : Công nghệ FPGA Trình bày công nghệ FPGA, kiến trúc FPGA Chƣơng : Thiết kế System on Chip thực

Ngày đăng: 25/07/2017, 21:54

Từ khóa liên quan

Mục lục

  • loi cam doan

  • loi cam on

  • muc luc

  • danh muc tu viet tat, thuat ngu

  • danh muc cac hinh

  • danh muc cac bang

  • mo dau

  • chuong 1

  • chuong 2

  • chuong 3

  • chuong 4

  • tai lieu tham khao

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan