Nghiên cứu bộ biến tần một pha bất đối xứng 5 bậc loại t

92 14 0
Nghiên cứu bộ biến tần một pha bất đối xứng 5 bậc loại t

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

ĐẠI HỌC QUỐC GIA TP HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA NGUYỄN QUỐC HOÀN NGHIÊN CỨU BỘ BIẾN TẦN MỘT PHA BẤT ĐỐI XỨNG BẬC LOẠI T Chuyên ngành : KỸ THUẬT ĐIỆN Mã số : 60520202 LUẬN VĂN THẠC SĨ TP HỒ CHÍ MINH, tháng năm 2019 Cơng trình hồn thành : Trường Đại Học Bách Khoa – ĐHQG-HCM Cán hướng dẫn khoa học : PGS.TS Nguyễn Đình Tuyên Cán chấm nhận xét 1:………………………………………………………… (Ghi rõ họ, tên, học hàm, học vị chữ ký) Cán chấm nhận xét 2:………………………………………………………… (Ghi rõ họ, tên, học hàm, học vị chữ ký) Luận văn thạc sĩ bảo vệ Trường Đại Học Bách Khoa, ĐHQG Tp HCM ngày …… tháng …… năm… Thành phần Hội đồng đánh giá luận văn thạc sĩ gồm: (Ghi rõ họ, tên, học hàm, học vị Hội đồng chấm bảo vệ luận văn thạc sĩ) 1………………………………………………………………………… 2………………………………………………………………………… 3………………………………………………………………………… 4………………………………………………………………………… 5………………………………………………………………………… Xác nhận Chủ tịch Hội đồng đánh giá LV Trưởng Khoa quản lý chuyên ngành sau luận văn sửa chữa (nếu có) CHỦ TỊCH HỘI ĐỒNG TRƯỞNG KHOA ĐIỆN-ĐIỆN TỬ ĐẠI HỌC QUỐC GIA TPHCM CỘNG HOÀ XÃ HỘI CHỦ NGHĨA VIỆT NAM TRƯỜNG ĐẠI HỌC BÁCH KHOA Độc Lập-Tự Do-Hạnh Phúc NHIỆM VỤ LUẬN VĂN THẠC SĨ Họ tên học viên : Nguyễn Quốc Hoàn MSHV: 1570869 Ngày, tháng, năm sinh: 30/01/1982 Nơi sinh: Hà Nam Chuyên ngành: Kỹ Thuật Điện Mã số: 60520202 I.TÊN ĐỀ TÀI: Nghiên cứu biến tần pha bất đối xứng bậc loại T (A singlePhase Asymmetrical T-Type Five-level Inverter) II.NHIỆM VỤ VÀ NỘI DUNG - Phân tích hoạt động, điều khiển biến tần pha bất đối xứng bậc loại T (A single-Phase Asymmetrical T-Type Five-level Inverter) - Sử dụng PSIM mô biến tần pha bất đối xứng bậc loại T - Thiết kế, thực chạy thực nghiệm nghịch lưu sử dụng Kit vi điều khiển TMS320F28379D - Kết luận đưa hướng phát triển đề tài III.NGÀY GIAO NHIỆM VỤ : 14/02/2019 IV.NGÀY HOÀN THÀNH NHIỆM VỤ: 1/6/2019 V.CÁN BỘ HƯỚNG DẪN: PGS.TS Nguyễn Đình Tuyên Tp HCM, ngày tháng năm 20… CÁN BỘ HƯỚNG DẪN CHỦ NHIỆM BỘ MÔN ĐÀO TẠO (Họ tên chữ ký ) (Họ tên chữ ký) TRƯỞNG KHOA ĐIỆN-ĐIỆN TỬ (Họ tên chữ ký) LỜI CẢM ƠN Trong thực tế, khơng có thành công mà không gắn liền với hỗ trợ, giúp đỡ dù nhiều hay ít, dù trực tiếp hay gián tiếp người khác Trong suốt thời gian từ bắt đầu học tập trường đến nay, em nhận nhiều quan tâm, giúp đỡ q Thầy Cơ, gia đình bạn bè Đầu tiên, em xin bày tỏ lòng biết ơn chân thành sâu sắc đến thầy PGS.TS.Nguyễn Đình Tuyên trực tiếp hướng dẫn, truyền đạt kinh nghiệm quý báu, tận tình giúp đỡ em suốt thời gian học tập, nghiên cứu hoàn thành luận văn Em xin chân thành cảm ơn Khoa Điện – Điện Tử, Phòng Đào Tạo Sau Đại Học, trường Đại Học Bách Khoa – Đại Học Quốc Gia TP.HCM tạo điều kiện thuận lợi cho em trình học tập nghiên Đồng thời xin ghi nhớ tình cảm giúp đỡ anh chị em PTN Nghiên cứu điện tử công suất – 115B1, bạn cao học đồng hành, hỗ trợ, chia sẻ giúp đỡ tơi hồn thành luận văn Cuối cùng, xin gửi lời biết ơn sâu sắc đến gia đình, người thân bạn bè, người dành cho quan tâm động viên, tình yêu thương tạo điều kiện tốt để tơi có động lực học tập, phấn đấu suốt thời gian qua Do thời gian trình độ cịn nhiều hạn chế nên luận văn chắn không tránh khỏi thiếu sót, mong nhận đóng góp ý kiến quý thầy cô bạn để luận văn hoàn thiện Xin chân thành cảm ơn! Tp Hồ Chí Minh, tháng năm 2019 Nguyễn Quốc Hồn TĨM TẮT LUẬN VĂN Nội dung luận văn dựa việc sử dụng báo tạp chí IEEE để thiết kế thực nghiệm biến tần pha bất đối xứng bậc loại T (5TL-AHB) để giảm dòng điện rò, cấu trúc bao gồm nhánh thông thường nhánh loại T , nối điểm nguồn DC nhánh Luận văn phân tích biến tần pha bất đối xứng bậc loại T (5T-LAHB) thực phương pháp điều chế độ rộng xung PWM nhiều mức điện áp hệ số điều chế khác Xác minh thực nghiệm tải pha ABSTRACT The main content of the thesis is based on the use article of the IEEE to design and and experiment an asymmetric multiphase T-inverter (5T-L-AHB) to reduce leakage current, the structure consists of usual two leg and one T-leg, connecting points between the DC source and an up leg This thesis analyzes the 5T-L-AHB inverter and performs method modulation PWM pulse at different voltage levels and modulation coefficients Verification by experiment on 1-phase load LỜI CAM ĐOAN Tác giả xin cam đoan cơng trình nghiên cứu thân tác giả Các kết nghiên cứu kết luận nêu luận văn trung thực Việc tham khảo tài liệu thực trích dẫn ghi nguồn tài liệu tham khảo theo yêu cầu MỤC LỤC CHƯƠNG 1: GIỚI THIỆU TỔNG QUAN ĐỀ TÀI .1 1.1 Lý chọn đề tài 1.2 Đối tượng thực mục tiêu nghiên cứu .2 1.3 Phạm vi nghiên cứu CHƯƠNG 2: biến tần pha bất đối xứng bậc loại T .3 2.1 Tổng quan hệ thống điện mặt trời 2.1.1 Hệ thống điện cho nơi tiêu thụ lượng điện 2.1.2 Hệ thống điện mặt trời nông thôn .3 2.1.3 Hệ thống điện mặt trời vùng đô thị 2.1.4 Hệ thống nghịch lưu với nhiều chỉnh lưu 2.1.5 Hệ thống điện mặt trời sử dụng nhiều nghịch lưu 2.1.6 Hệ thống pin mặt trời tích hợp nghịch lưu 2.2 Cấu tạo nguyên lý hoạt động nghịch lưu pha năm bậc kiểu T .6 2.2.1 Tổng quát: 2.2.2 Nguyên lý hoạt động 2.2.3 Phương pháp điều chế độ rộng xung sin 14 2.2.3.1 Nguyên lý 14 2.2.3.2 Phương pháp Sin PWM cho nghịch lưu đa bậc 17 CHƯƠNG 3: MÔ PHỎNG BỘ NGHỊCH LƯU MỘT PHA ĐA BẬC 5LT-AHB 20 3.1 Mơ luật điều khiển đóng cắt khóa cơng suất 20 3.1.1 Xây dựng mơ hình nghịch lưu pha năm bậc PSIM 20 3.1.2 Kết mô 22 3.1.3 Kết luận chương 31 CHƯƠNG 4: THIẾT KẾ VÀ THỰC HIỆN PHẦN CỨNG .32 4.1 Thiết kế mạch .32 4.1.1 Mạch nguồn DC .32 4.1.2 Mạch điều khiển 33 4.1.3 Mạch lái 34 4.1.4 Mạch công suất 35 4.1.5 Cổng logic .36 4.1.6 Tải R-L 37 CHƯƠNG 5: THỰC NGHIỆM BỘ NGHỊCH LƯU MỘT PHA ĐA BẬC 5L-T-AHB 38 5.1 Phương pháp thực nghiệm 38 5.2 Kết thực nghiệm 39 5.3 Kết luận chương 66 CHƯƠNG 6: KẾT LUẬN 67 6.1 Kết luận 67 6.2 Những hạn chế 67 6.3 Hướng phát triển đề tài 68 DANH MỤC HÌNH ẢNH Hình 2.1: Hệ thống điện cabin (từ Microchip Technology Inc) Hình 2.2: Hệ thống điện mặt trời nơng thơn (từ Microchip Technology Inc) Hình 2.3: Hệ thống điện mặt trời đô thị ( từ Microchip Technology Inc) .4 Hình 2.4: Hệ thống điện mặt trời nghịch lưu với nhiều chỉnh lưu (từ Microchip Technology Inc) Hình 2.5: Hệ thống điện mặt trời sử dụng nhiều nghịch lưu (từ Microchip Technology Inc) Hình 2.6: Hệ thống pin mặt trời tích hợp biến tần (từ Microchip Technology Inc) Hình 2.7: Mơ hình nghịch lưu pha không biến áp Hình 2.8: Mạch theo mơ hình 5L-T-AHB Hình 2.9: Trạng thái 10 Hình 2.10: Trạng thái 10 Hình 2.11: Trạng thái 11 Hình 2.12: Trạng thái 12 Hình 2.13: Trạng thái 3, cấu hình 12 Hình 2.14: Trạng thái 3, cấu hình 13 Hình 2.15: Mơ hình chế độ chung cho biến tần pha khơng biến áp 5L-T-AHB 13 Hình 2.16: Giải thích việc sử dụng sóng tam giác để so sánh 15 Hình 2.17: Điều chế độ rộng xung 16 Hình 2.18: Phương pháp Sin PWM đa bậc bố trí theo kiểu POD 17 Hình 2.19: Phương pháp Sin PWM đa bậc bố trí theo kiểu DP .18 Hình 2.20: Phương pháp Sin PWM đa bậc bố trí theo kiểu APOD 18 Hình 3.1: Sơ đồ khối mạch điều khiển công suất 20 Hình 3.2: Sơ đồ khối cơng suất 5L-T-AHB .21 Hình 3.3: Sơ đồ khối điều khiển 5L-T-AHB 21 Hình 3.4: Sóng điều khiển sóng mang bố trí đối xứng qua trục (POD) 22 Hình 3.5: Xung điều khiển khóa S1 23 Hình 3.6: Xung điều khiển khóa S2 23 Hình 3.7: Xung điều khiển khóa S3 23 Hình 3.8: Xung điều khiển khóa S4 24 Hình 3.9: Xung điều khiển khóa S5, S6 24 Hình 3.10: Điện áp đầu vAB m = 0.8, RG = 25Ω .25 Hình 3.11: Phân tích FFT điện áp đầu vAB m = 0.8, RG = 25Ω 25 Hình 3.12: Dịng điện đầu iL qua tải R-L m = 0.8, RG = 25Ω 26 Hình 3.13: Điện áp vBZ m = 0.8, RG = 25Ω 26 61 (a) (b) Hình 5.46: Dạng xung kích khóa S2 S4 thực nghiệm (a) mô (b) m = 1.1 (a) (b) Hình 5.47: Dạng xung kích khóa S5 S6 thực nghiệm (a) mô (b) m = 1.1 62 + Với 𝑉𝐷𝐶 /2 =15V 20V/div , 10ms/div; 𝑣𝐿 =30 (V) 10V/div , 20ms/div; 𝑣𝐿 =30 (V) (a) (b) Hình 5.48: Điện áp ngõ m = 1.1, VDC/2 = 15V thực nghiệm (a) mô (b) 1A/div , 10ms/div; 𝑖𝐿 =2.6(A) 2A/div , 10ms/div; 𝑖𝐿 =2.9(A) (a) (b) Hình 5.49: Dịng tải khi m = 1.1, VDC/2 = 15V thực nghiệm (a) mô (b) 63 + Với 𝑉𝐷𝐶 /2 =20V 20V/div , 10ms/div; 𝑣𝐿 =40 (V) 20V/div , 20ms/div; 𝑣𝐿 =40 (V) (a) (b) Hình 5.50: Điện áp ngõ m = 1.1, VDC/2 = 20V thực nghiệm (a) mô (b) 2A/div , 10ms/div; 𝑖𝐿 =3.6(A) 2A/div , 10ms/div; 𝑖𝐿 =3.9(A) (a) (b) Hình 5.51: Dịng tải khi m = 1.1, VDC/2 = 20V thực nghiệm (a) mô (b) 64 + Với 𝑉𝐷𝐶 /2 =25V 20V/div , 10ms/div; 𝑣𝐿 =50 (V) 50V/div , 20ms/div; 𝑣𝐿 =50 (V) (a) (b) Hình 5.52: Điện áp ngõ m = 1.1, VDC/2 = 25V thực nghiệm (a) mô (b) 2A/div , 10ms/div; 𝑖𝐿 =4(A) 5A/div , 10ms/div; 𝑖𝐿 =4.9(A) (a) (b) Hình 5.53: Dịng tải khi m = 1.1, VDC/2 = 25V thực nghiệm (a) mô (b) 65 + Với 𝑉𝐷𝐶 /2 =30V 20V/div , 10ms/div; 𝑣𝐿 =60 (V) 50V/div , 20ms/div; 𝑣𝐿 =60 (V) (a) (b) Hình 5.54: Điện áp ngõ m = 1.1, VDC/2 = 30V thực nghiệm (a) mô (b) 2A/div , 10ms/div; 𝑖𝐿 =4.6(A) (a) 5A/div , 10ms/div; 𝑖𝐿 =5.9(A) (b) Hình 5.55: Dòng tải khi m = 1.1, VDC/2 = 30V thực nghiệm (a) mô (b) 66 Nhận xét: Thực nghiệm cho thấy kết gần giống với kết mơ PSIM dạng sóng bị nhiễu nhiều, nguyên nhân phần lớn phần cứng không đáp ứng đầy đủ yêu cầu kĩ thuật Mô PSIM ta coi tất linh kiện lí tưởng khơng có tổn hao, số linh kiện sử dụng phần cứng nhiều nên việc tổn hao nhiễu tín hiệu điều khiển khơng thể tránh khỏi Điện áp đa bậc có tần số tần số điều chế, dịng điện có dạng sin có tần số số nguồn 50Hz Điều có ý nghĩa lớn cho việc phát triển để ứng dụng vào thực tế đời sống 5.3 Kết luận chương Các kết đạt từ thực nghiệm giống kết báo uy tín cơng bố, cần nghiên cứu cải thiện mơ hình thực nghiệm để cải thiện chất lượng dòng điện điện áp ngõ vào/ra Kết thực nghiệm chứng minh đắn giải thuật đề xuất sử dụng module ePWM DPS để xuất xung điều khiển khóa IGBT Chương giúp ta đánh giá chất lương nghịch lưu 5L-TAHB mà thiết kế 67 CHƯƠNG 6: KẾT LUẬN 6.1 Kết luận Luận văn đáp ứng nhu cầu cấp thiết cấu trúc nghịch lưu pha đa bậc 5L-T-AHB, dựa cơng nghệ chế tạo khóa bán dẫn thiết bị xử lý tín hiệu số đại, cấu trúc gọn nhẹ Xây dựng thành công nghịch lưu 5L-T-AHB kiểm chứng kết mô thông qua thực nghiệm Kết mô thực nghiệm xác mặt lý thuyết, đem so sánh với kết công bố tập chí khoa học có kết tương tự Nắm vững kiến thức điện tử công suất, kỹ thuật điều chế độ rộng xung PWM phương pháp Sin PWM Nắm vững phương pháp xây dựng mạch thực nghiệm từ mô phỏng, hiểu sơ đồ phần cứng kỹ lập trình cho vi điều khiển (DSP TMS320F28379D) Kết đạt trình thực đề tài dùng làm liệu đầu vào cho nghiên cứu nghịch lưu 5L-T-AHB 6.2 Những hạn chế Luận văn trình bày chi tiết giải thuật để điều khiển khóa bán dẫn cho nghịch lưu 5L-T-AHB, bước đầu thu kết khả quan Tuy nhiên, luận văn nhiều hạn chế mô thực nghiệm với tải R-L, chưa thực với tải động Điện áp thực nghiệm dừng lại mức điện áp thấp (60V) Kết thực nghiệm dừng lại việc chạy tải để so sánh với kết mô mà chưa tính tốn cơng suất cho mạch Kết thực nghiệm chưa ổn định lắm, hạn chế linh kiện Do điều kiện hạn chế thời gian có hạn nên dừng lại việc thực nghiệm đo dạng áp dòng tải nghịch lưu đa bậc hình T, chưa thực nghiệm để đo dịng rị điểm thiếu sót luận văn 68 6.3 Hướng phát triển đề tài - Thực thêm giải thuật điều khiển dòng, áp cho nghịch lưu, - Tính tốn cơng suất cho mạch chạy điều kiện điện áp cao, - Nâng cao chất lượng điện áp thiết kế lọc làm giảm nhiễu cho nghịch lưu, - Cần phải nghiên cứu thêm việc kiểm chứng đo đạc dòng rò, - Thực giải thuật nối lưới 69 TÀI LIỆU THAM KHẢO [1] M Calais, J Myrzik, T Spooner, and V.G Agelidis, “Inverters for singlephase grid connected photovoltaic systems-an overview,” In Proc of the IEEE Power Electronics Specialists Conference PESC 2002, Vol 4, Queensland, Australia, June 2002, pp 1995-2000 [2] Yaosuo Xue, Liuchen Chang, Sren Baekhj Kjaer, J Bordonau, and T Shimizu, Topologies of single-phase inverters for small distributed power generators: an overview IEEE Trans on Power Electronics, Vol 19(5), pp 1305-1314, Sept 2004 [3] S.B Kjaer, J.K Pedersen, and F Blaabjerg, “A review of singlephase grid-connected inverters for photovoltaic modules,” IEEE Trans on Industrial Electronics, Vol 41(5), pp 1292-1306, Sept.-Oct 2005 [4] R Gonzalez, E Gubia, J Lopez, and L Marroyo, “Transformerless single-phase multilevel-based photovoltaic inverter,” IEEE Trans on Industrial Electronics, Vol 55(7), pp 2694-2702, July 2008 [5] Bo Yang, Wuhua Li, Yi Zhao, and Xiangning He, “Design and analysis of a grid-connected photovoltaic power system,” IEEE Trans on Power Electronics, Vol 25(4), pp 992-1000, April 2010 [6] Huafeng Xiao, Shaojun Xie, Yang Chen, and Ruhai Huang, “An optimized transformerless photovoltaic grid-connected inverter,” IEEE Trans on Industrial Electronics, Vol 58(8), pp 1887-1895, May 2011 [7] Huafeng Xiao, “Transformerless split-inductor neutral point clamped three-level pv grid-connected inverter,” IEEE Trans on Power Electronics, Vol 27(4), pp 1799-1808, April 2012 [8] Xiaomeng Su, Yaojie Sun, and Yandan Lin, “Analysis on leakage current in transformerless single-phase pv inverters connected to the grid,” In 70 Proc of the 2011 Asia-Pacific Power and Energy Engineering Conference (APEC), March 2011, pp 1-5 [9] J Ji, W Wu, Y He, Z Lin, F Blaabjerg and H S H Chung, “A Simple Differential Mode EMI Suppressor for the LLCL -Filter-Based SinglePhase Grid-Tied Transformerless Inverter,” In IEEE Transactions on Industrial Electronics, vol 62, no 7, pp 4141-4147, July 2015 [10] O Lopez, F.D Freijedo, A.G Yepes, P Fernandez-Comesaa, J Malvar, R Teodorescu, and J Doval-Gandoy, “Eliminating ground current in a transformerless photovoltaic application,” IEEE Trans on Energy Conversion, Vol 25(1), pp 140-147, March 2010 [11] M.C Cavalcanti, A.M Farias, K.C Oliveira, F.A.S Neves, and J.L Afonso, “Eliminating Leakage Currents in Neutral Point Clamped Inverters for Photovoltaic Systems,” IEEE Trans on Industrial Electronics, Vol 59(1), pp 435-443, Jan 2012 [12] Dke deustche kommission elektrotechnik elektronik informationstechnik im DIN und VDE 0126-1-1, VDE 0126-1-1, 2005 [13] O Lopez, R Teodorescu, and J Doval-Gandoy, “Multilevel transformerlesstopologiesforsingle-phasegrid-connectedconverters,” InProc.ofthe 32nd Annual Conference on IEEE Industrial Electronics, IECON 2006, Nov 2006, pp 5191-5196 [14] Z Ozkan and A.M Hava, “A survey and extension of high efficiency grid connected transformerless solar inverters with focus on leakage current characteristics,” In Proc of the IEEE Energy Conversion Congress and Exposition ECCE 2012, Sept 2012, pp 3453-3460 [15] J.M Sosa, P.R Martinez-Rodriguez, G Vazquez, J.P Serrano, G Escobar, and A.A Valdez-Fernandez, “Model based controller for an lcl coupling filter for transformerless grid connected inverters in pv applications,” 71 In Proc of the 39th Annual Conference of the IEEE Industrial Electronics Society, IECON 2013, Nov 2013, pp 1723-1728 [16] M.C Cavalcanti, K.C Oliveira, A.M de Farias, F.A.S Neves, G.M.S Azevedo, and F.C Camboim, “Modulation Techniques to Eliminate Leakage Currents in Transformerless Three-Phase Photovoltaic Systems,” IEEE Trans on Industrial Electronics, Vol 57(4), pp 1360-1368, April 2012 [17] W Li, Y Gu, H Luo, W Cui, X He and C Xia, “Topology Review and Derivation Methodology of Single-Phase Transformerless Photovoltaic Inverters for Leakage Current Suppression,” In IEEE Transactions on Industrial Electronics, vol 62, no 7, pp 4537-4551, July 2015 [18] T K S Freddy, N A Rahim, W P Hew and H S Che, “Comparison and Analysis of Single-Phase Transformerless Grid-Connected PV Inverters,” In IEEE Transactions on Power Electronics, vol 29, no 10, pp 5358-5369, Oct 2014 [19] X Guo, M.C Cavalcanti, A.M Farias, and J.M Guerrero, “Singlecarrier modulation for neutral-point-clamped inverters in three-phase transformerless photovoltaic systems,” IEEE Trans on Power Electronics vol 28, no 6, pp 2635-2637, June 2013 [20] R Gonzalez, J Lopez, P Sanchis, and L Marroyo, “Transformerless inverter for single-phase photovoltaic systems,” IEEE Trans on Power Electronics, Vol 22(2), pp 693-697, March 2007 [21] Huafeng Xiao and Shaojun Xie, “Leakage current analytical model and application in single-phase transformerless photovoltaic grid-connected inverter,” IEEE Trans on Electromagnetic Compatibility, Vol 52(4), pp 902913, Nov 2010 [22] T Kerekes, R Teodorescu, P Rodriguez, G Vazquez, and E Aldabas,“A new high-efficiencysingle-phase transformerless pv inverter 72 topology,” IEEE Trans on Industrial Electronics, Vol 58(1), pp 184-191, Jan 2011 [23] B Burger and D Kranzer, “Extreme high efficiency pv-power converters,” In Proc of the 13th European Conference on Power Electronics and Applications EPE 2009, Sept 2009, pp 1-13 [24] S.V Araujo, P Zacharias, and R Mallwitz, “Highly efficient singlephase transformerless inverters for grid-connected photovoltaic systems,” IEEE Trans on Industrial Electronics, Vol 57(9), pp 3118-3128, Sept 2010 [25] Y Wang, R Li, and X Cai, “Novel high efficiency level stacked neutral point clamped grid tied inverter,” IEEE Trans on Industrial Electronics, vol 60, no 9, pp 3766-3774, Sept 2013 [26] D Barater, G Buticchi, A S Crinto, G Franceschini, and E Lorenzani, “Unipolar PWM strategy for Transformerless PV Grid-Connected Converters,” IEEE Trans on Energy Conversion, Vol 27(4), pp 835-843, Dec 2012 [27] B Gu, J Dominic, J Lai, C Chen, and B Chen, “High reliability and efficiency single-phase transformerless inverter for grid-connected photovoltaic systems,” IEEE Trans on Power Electronics, vol 28, no 5, pp 2235-2245, May 2013 [28] G Vazquez, P.R Martinez-Rodriguez, J.M Sosa, G Escobar, and J Arau, “A modulation strategy for single-phase hb-cmi to reduce leakage ground current in transformer-less pv applications,” In Proc of the 39th Annual Conference of the IEEE Industrial Electronics Society IECON 2013, Nov 2013, pp 210-215 [29] V Monteiro, A A N Mel´endez, J C Ferreira, C Couto and J L Afonso, “Experimental validation of a proposed single-phase five-level active rectifier operating with model predictive current control,” IECON 2015 - 41st 73 Annual Conference of the IEEE Industrial Electronics Society, Yokohama, Japon, 2015, pp 003939-003944 [30] B.Ji,J.Wang,andJ.Zhao, “High efficiency single phase transformerless pv h6 inverter with hybrid modulation method,” IEEE Trans on Industrial Electronics, vol 60, no 5, pp 2104-2115, May 2013 [31] Guocheng San, Hanhong Qi, Junjuan Wu, and Xiaoqiang Guo, “A new three-level six-switch topology for transformerless photovoltaic systems,” In Proc of the 7th International Power Electronics and Motion Control Conference IPEMC 2012, Vol 1, June 2012, pp 163-166 [32] J Selvaraj and N.A Rahim, “Multilevel inverter for grid-connected pv system employing digital pi controller,” IEEE Trans on Industrial Electronics, Vol 56(1), pp 149-158, Jan 2009 [33] C Verdugo, S Kouro, C Rojas and T Meynard, “Comparison of singlephase T-type multilevel converters for grid-connected PV systems,” 2015 IEEE Energy Conversion Congress and Exposition (ECCE), Montreal, QC, 2015, pp 3319-3325 [34] Yong Wang, W.W.Shi, N.Xie, and C.M.Wang, “Diode-Free T-Type Three-Level Neutral-Point-Clamped Inverter for Low-Voltage Renewable Energy System,” IEEE Trans on Industrial Electronics, Vol 61(11), pp 61686174, Nov 2014 [35] G Vazquez, P.R Martinez-Rodriguez, J.M Sosa, G Escobar and M.A Juarez, “Transformerless Single-Phase Multilevel Inverter for Grid Tied Photovoltaic Systems,” in Proc 40th Annual Conference on IEEE Industrial Electronics Society IECON 2014, Dallas TX, USA, November 2014, pp 1868-1874 [36] E Gubia, P Sanchis, A Ursua, J Lopez, and L Marroyo, “Ground currents in single-phase transformerless photovoltaic systems,” Progress in 74 Photovoltaics: Research and Applications, Vol 15(15), pp 629-650, May 2007 75 LÝ LỊCH TRÍCH NGANG Họ tên : Nguyễn Quốc Hồn Ngày, tháng, năm sinh: 30/01/1982 Nơi sinh: Hà Nam Địa liên lạc: 48, Cửu Long, Phường 2, Quận Tân Bình, Tp.HCM Email: quochoan_electric@yahoo.com.vn Phone: 0982600766 QUÁ TRÌNH ĐÀO TẠO 2004-2010: Trường Đại Học Bách Khoa Tp HCM 2015-2019: Trường Đại Học Bách Khoa Tp HCM Q TRÌNH CƠNG TÁC 2011-Nay: Trung Tâm Kiểm Định Công Nghiệp II – Bộ Công Thương 2010-2011: Công ty TNHH Cơ Điện Lạnh Tấn Đạt ... điều khiển biến t? ??n pha b? ?t đối xứng bậc loại T (A single-Phase Asymmetrical T- Type Five-level Inverter) - Sử dụng PSIM mô biến t? ??n pha b? ?t đối xứng bậc loại T - Thi? ?t kế, thực chạy thực nghiệm... nghiệm biến t? ??n pha b? ?t đối xứng bậc loại T (5TL-AHB) để giảm dòng điện rò, cấu trúc bao gồm nhánh thông thường nhánh loại T , nối điểm nguồn DC nhánh Luận văn phân t? ?ch biến t? ??n pha b? ?t đối xứng bậc. .. Kỹ Thu? ?t Điện Mã số: 6 052 0202 I .T? ?N ĐỀ T? ?I: Nghiên cứu biến t? ??n pha b? ?t đối xứng bậc loại T (A singlePhase Asymmetrical T- Type Five-level Inverter) II.NHIỆM VỤ VÀ NỘI DUNG - Phân t? ?ch ho? ?t động,

Ngày đăng: 04/03/2021, 20:49

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan