1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

121 kts thi đề

5 0 0

Đang tải... (xem toàn văn)

THÔNG TIN TÀI LIỆU

Nội dung

1 Câu 1 (1,5 điểm) Cho bộ đếm song song 2 bit Q1 và Q0 (LSB); bộ đếm có 1 ngõ vào điều khiển X như hình vẽ Hãy xác định dãy đếm của bộ đếm và cho biết ý nghĩa của ngõ vào X Điểm Chữ kí giám thị Điểm S[.]

ĐH BÁCH KHOA TP.HCM * KHOA ĐIỆN - ĐIỆN TỬ * BỘ MƠN ĐIỆN TỬ Điểm Chữ kí giám thị ĐỀ THI HK1 (2012-2013) Môn: Kỹ thuật số Thời gian: 110 phút (SINH VIÊN KHÔNG ĐƯỢC SỬ DỤNG TÀI LIỆU) HỌ TÊN: ……………………………………… MSSV: ………………… NHÓM: ……… SINH VIÊN LÀM BÀI NGAY TRÊN ĐỀ THI - ĐỀ THI CÓ TRANG Câu (1,5 điểm) Cho đếm song song bit Q1 Q0 (LSB); đếm có ngõ vào điều khiển X hình vẽ Hãy xác định dãy đếm đếm cho biết ý nghĩa ngõ vào X Q0 (lsb) Q1 X D1 Q1 D0 Q0 CK1 Q1 CK0 Q0 CK Câu (3,0 điểm) a Sử dụng T-FF (kích cạnh lên) PLA, thiết kế hệ (gồm ngõ vào X ngõ Z) có giản đồ trạng thái hình vẽ X=1 D 0 C 1 B A Q1 Q0 A B D C 0 Bảng gán trạng thái b Hoàn tất giản đồ định (giản đồ xung) hệ CK X Q1 Q0 Z Câu (1,0 điểm) Cho Flip-flop hình vẽ: X1 Bảng hoạt động Q X1 0 1 CK X2 Q X2 1 Q+ Q Q a Xác định phương trình đặc tính Flip-flop: Q+ b Với ngõ vào X1 = X2 = Flip-flop có tính chất gì? Giải thích ngắn gọn Câu (1,0 điểm) Một hệ kiểu Moore có ngõ vào X ngõ Z Ngõ Z ngõ vào X nhận bit liên tiếp khác Hãy trình bày cách thiết lập bảng chuyển thái rút gọn bảng trạng thái Trạng thái Ý nghĩa S0 Trạng thái reset S1 S2 … Câu (1,5 điểm) Hãy vẽ sơ đồ logic đếm nối tiếp mơ tả VHDL, từ xác định dãy đếm đếm này: library ieee; use ieee.std_logic_1164.all; entity CAU6 is port ( CLK : IN std_logic; Q : BUFFER std_logic_vector(2 downto 0)); end CAU6; architecture THI of CAU6 is component T_FF port ( T, CK, Pr, Cl: IN std_logic; Q: OUT std_logic); end component; signal Z: std_logic; begin Z

Ngày đăng: 08/04/2023, 06:35

w