Thiết kế mạch số dùng HDL-Chương 5 Thiết kế luận lý bằng mô hình hành vi pptx

61 627 7
Thiết kế mạch số dùng HDL-Chương 5 Thiết kế luận lý bằng mô hình hành vi pptx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

2009 dce Thiết kế mạch số dùng HDL Chương 5 Thiết kế luận bằnghình hành vi Computer Engineering 2009 ©2008, Pham Quoc Cuong 2 Thiết kế Vi mạch số dùng HDL Nội dung chính 1. hình hành vi 2. hình hành vi dựa trên phương trình boole 3. hình hành vi vòng 4. hình hành vi cho các khối cơ bản 5. Giải thuật lặp và lưu đồ máy trạng thái cho hình hành vi Computer Engineering 2009 ©2008, Pham Quoc Cuong 3 Thiết kế Vi mạch số dùng HDL Nội dung chính 1. hình hành vi 2. hình hành vi dựa trên phương trình boole 3. hình hành vi vòng 4. hình hành vi cho các khối cơ bản 5. Giải thuật lặp và lưu đồ máy trạng thái cho hình hành vi Computer Engineering 2009 ©2008, Pham Quoc Cuong 4 Thiết kế Vi mạch số dùng HDL Mô hình hành vi • Khái quát hình hành vi • Kiểu dữ liệu cho hình hành vi • Các phép toán cho hình hành vi Computer Engineering 2009 ©2008, Pham Quoc Cuong 5 Thiết kế Vi mạch số dùng HDL Mô hình cấu trúc và hình hành vi trong HDLs • Cấu trúc (Structural) chỉ ra cấu trúc phần cứng thật sự của mạch  Mức trừu tượng thấp • Các cổng cơ bản (ví dụ and, or, not) • Cấu trúc phân cấp thông qua các module  Tương tự lập trình hợp ngữ • Hành vi (Behavioral) chỉ ra hoạt động của mạch trên các bit  Mức trừu tượng cao hơn • Biểu diễn bằng các biểu thức (ví dụ out = (a & b) | c) • Không phải tất cả các đặc tả hành vi đều tổng hợp được  Không sử dụng: + - * / % > >= < <= >> << Computer Engineering 2009 ©2008, Pham Quoc Cuong 6 Thiết kế Vi mạch số dùng HDL Mô hình hành vi – đặc điểm • Thiết kế các vi mạch lớn • tả chức năng (what) và cách xây dựng (how) phần cứng • Không quan tâm đến trễ truyền lan (được quan tâm trong giai đoạn tổng hợp) • Các bước thiết kế  Nhanh chóng đưa ra nguyên mẫu (prototype)  Kiểm tra chức năng  Dùng công cụ tổng hợp tối ưu và ánh xạ công nghệ Computer Engineering 2009 ©2008, Pham Quoc Cuong 7 Thiết kế Vi mạch số dùng HDL Kiểu dữ liệu cho hình hành vi • Biến trong Verilog biểu diễn một tín hiệu dạng nhị phân của mạch • Tất cả các biến trong Verilog được định nghĩa kiểu trước khi sử dụng  net  register • Net hoạt động như dây nối vật lý  wire • Register hoạt động giống như biến trong các ngôn ngữ lập trình cấp cao  reg  integer • Kích thước mặc định của kiểu dữ liệu reg và wire là 1 bit Computer Engineering 2009 ©2008, Pham Quoc Cuong 8 Thiết kế Vi mạch số dùng HDL Các toán tử trong Verilog Toán tử Tên Nhóm [ ] Chọn () Ngoặc ! ~ Phủ định (đảo) Phủ định (not) Logical Bit-wise & | ~& ~| ^ ~^ or ^~ Thu giảm AND Thu giảm OR Thu giảm NAND Thu giảm NOR Thu giảm XOR Thu giảm XNOR Thu giảm + – Dấu dương (một ngôi) Dấu âm (một ngôi) Số học {} Nối Nối {{}} Nhân bản Nhân bản * / % + – nhân chia Chia lấy dư Cộng (hai ngôi) Trừ (hai ngôi) Số học Toán tử Tên Nhóm << >> Dịch trái Dịch phải Dịch > >= < <= Lớn hơn Nhỏ hơn hay bằng Nhỏ hơn Nhỏ hơn hay bằng Quan hệ == != Bằng (logic) Không bằng (logic) So sánh bằng === !== Bằng (case) Không bằng (case) & bit-wise AND Bit-wise ^ ^~ or ~^ bit-wise XOR bit-wise XNOR | bit-wise OR && logical AND Logic || logical OR ?: Điều kiện Điều kiện Computer Engineering 2009 ©2008, Pham Quoc Cuong 9 Thiết kế Vi mạch số dùng HDL Toán tử số học (+, -, *, /, %) • Bất kỳ bit nào trong toán hạng là x hoặc z thì kết quả là x • Kích thước kết quả  Phép nhân thì kích thước kết quả bằng tổng kích thước 2 toán hạng  Các phép toán khác bằng chiều dài lớn nhất của toán hạng • Biểu diễn dấu  A B C – B = 4’b0101 (5) A = 4’b0010 (2) C = 4’b1101 (13) integer intA, intB; intA = -12; intB = -’d12; Computer Engineering 2009 ©2008, Pham Quoc Cuong 10 Thiết kế Vi mạch số dùng HDL Toán tử quan hệ (<, >, <=, >=)  A B True/False (1/0)  A = 52 B = 8’hx5 x < A = 3’b001 B = 3’b011 True (1) > A = 3’b001 B = 5’b01011 False (0) A = 5’b00001 [...]... Engineering 2009 hình hành vi vòng • Khái quát hình hành vi vòng • hình hành vi vòng cho mạch phát hiện cạnh • So sánh các loại hình hành vi hình phép gán liên tục  hình dòng dữ liệu  hình dựa trên thuật toán Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 26 Computer Engineering 2009 hình hành vi vòng – khái quát • hình hành vi gán liên tục không hiện thực được mạch kích... {Ack, Ack, Ack} Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 17 Computer Engineering 2009 Nội dung chính 1 hình hành vi 2 hình hành vi dựa trên phương trình boole 3 hình hành vi vòng 4 hình hành vi cho các khối cơ bản 5 Giải thuật lặp và lưu đồ máy trạng thái cho mô hình hành vi Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 18 Computer Engineering 2009 Mô hình hành vi dựa trên... hiệu reset  Mạch phức tạp khó hiện thực bằng hàm boolean Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 24 Computer Engineering 2009 Nội dung chính 1 Mô hình hành vi 2 Mô hình hành vi dựa trên phương trình boole 3 hình hành vi vòng 4 hình hành vi cho các khối cơ bản 5 Giải thuật lặp và lưu đồ máy trạng thái cho hình hành vi Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 25 Computer... models • hình dựa trên thuật toán (AlgorithmBased models) Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 30 Computer Engineering 2009 hình gán liên tục • tả những hành vi nhạy mức (level-sensitive) • Những biểu thức gán liên tục được thực hiện đồng thời Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 31 Computer Engineering 2009 hình Dataflow/RTL (1) • hình dòng dữ liệu của mạch. .. xung clock Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 28 Computer Engineering 2009 Phát hiện cạnh • Các phát biểu thủ tục trong hành vi vòng sẽ được thực thi khi sự kiện điều khiển xảy ra • postedge và negedge dùng phát hiện cạnh lên hay xuống của tín hiệu Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 29 Computer Engineering 2009 So sánh giữa các loại hình hành vi hình gán liên... trúc các thanh ghi đường dữ liệu và các hoạt động của máy • Mô hình hành vi của mạch tổ hợp có thể được tả bằng tập hợp các phát biểu gán liên tục hoặc bằng một hành vi vòng Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 32 time Computer Engineering 2009 hình Dataflow/RTL (2) Blocked assignment Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 33 Computer Engineering 2009 Non-blocking vs... Computer Engineering 2009 hình dựa trên thuật toán • Mức trừu tượng cao hơn hình dòng dữ liệu • Dễ hiểu dễ đọc • Các lệnh trong một hành vi vòng được thực thi tuần tự • Không phải tất cả các giải thuật đều có thể hiện thực bằng phần cứng Synopsys’s Design Compiler Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 35 Computer Engineering 2009 phỏng trong hình hành vi • Sự kiện xảy ra ở những... x_in2); Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 20 Computer Engineering 2009 dụ - Mux_2_32 select data0 Mux_2_32 mux_out data1 Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 21 Computer Engineering 2009 Trễ truyền lan và gán liên tục • Kết hợp trễ truyền lan với phép gán liên tục để phỏng đúng tính năng và đặc tính thời gian như ở mức cổng • Công cụ tổng hợp tự động tạo ra mạch. .. Addr //x Data === Addr //1 Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc Cuong 11 Computer Engineering 2009 Toán tử luận (||, &&, !) • Toán hạng là vector khác 0 được xem như 1 • Nếu bất kỳ bit nào của toán hạng có giá trị x hay z thì toán hạng được xem như x ABus = 4’b0110; BBus = 4’b0100; ABus || BBus // 1 ABus && BBus // 1 !ABus // giống như !BBus // 0 Thiết kế Vi mạch số dùng HDL ©2008, Pham Quoc... (song song)  Thứ tự các phát biểu không ảnh hưởng đến kết quả cuối cùng  Khi thực hiện hành vi vòng bộ phỏng tính giá trị biểu thức bên vế phải trước khi gán cho vế trái Thiết kế Vi mạch số dùng HDL • Blocking  Toán tử =  Các phát biểu thực thi tuần tự  Thứ tự các phát biểu có thể ảnh hưởng đến kết quả cuối cùng  Khi thực hiện hành vi vòng bộ phỏng chỉ tính giá trị biểu thức bên phải ngay sau . Cuong 4 Thiết kế Vi mạch số dùng HDL Mô hình hành vi • Khái quát mô hình hành vi • Kiểu dữ liệu cho mô hình hành vi • Các phép toán cho mô hình hành vi Computer. 2009 dce Thiết kế mạch số dùng HDL Chương 5 Thiết kế luận lý bằng mô hình hành vi Computer Engineering 2009 ©2008, Pham Quoc Cuong 2 Thiết kế Vi mạch số dùng

Ngày đăng: 16/03/2014, 13:20

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan