(Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

103 8 0
(Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT THÀNH PHỐ HỒ CHÍ MINH CƠNG TRÌNH NGHIÊN CỨU KHOA HỌC CẤP TRƯỜNG XÂY DỰNG MƠ HÌNH MẠNG TRÊN CHIP (NOCNETWORK ON CHIP) ỨNG DỤNG TRONG THIẾT KẾ VI MẠCH MÃ SỐ: T2014-26 SKC005567 Tp Hồ Chí Minh, 2014 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT THÀNH PHỐ HỒ CHÍ MINH BÁO CÁO TỔNG KẾT ĐỀ TÀI KH&CN CẤP TRƯỜNG XÂY DỰNG MƠ HÌNH MẠNG TRÊN CHIP (NOCNETWORK ON CHIP) ỨNG DỤNG TRONG THIẾT KẾ VI MẠCH Mã số: T2014-26 Chủ nhiệm đề tài: Ths, Phạm Văn Khoa TP HCM, 11/2014 TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT THÀNH PHỐ HỒ CHÍ MINH KHOA ĐIỆN – ĐIỆN TỬ BÁO CÁO TỔNG KẾT ĐỀ TÀI KH&CN CẤP TRƯỜNG XÂY DỰNG MƠ HÌNH MẠNG TRÊN CHIP (NOCNETWORK ON CHIP) ỨNG DỤNG TRONG THIẾT KẾ VI MẠCH Mã số: T2014-26 Chủ nhiệm đề tài: Ths, Phạm Văn Khoa TP HCM, 11/2014 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT THÀNH PHỐ HỒ CHÍ MINH BÁO CÁO TĨM TẮT ĐỀ TÀI KH&CN CẤP TRƯỜNG XÂY DỰNG MƠ HÌNH MẠNG TRÊN CHIP (NOCNETWORK ON CHIP) ỨNG DỤNG TRONG THIẾT KẾ VI MẠCH Mã số: T2014-26 Chủ nhiệm đề tài: ThS, Phạm Văn Khoa TP HCM, 11/2014 MỤC LỤC MỤC LỤC DANH SÁCH CHỮ VIẾT TẮT DANH SÁCH CÁC HÌNH DANH SÁCH CÁC BẢNG CHƯƠNG TỔNG QUAN 1.1 Mơ hình SoC thiết kế đa lõi 1.2 Xu phát triển vấn đề tồn kết nối 1.3 Giải pháp cần thiết tảng NoC cho th 1.4 Mục tiêu đề tài 1.5 Phương pháp nghiên cứu 1.6 Bố cục trình bày CHƯƠNG CƠ SỞ LÝ THUYẾT 2.1 Mạng chip 2.2 Sự phát triển tảng SoC 2.2.1 Mơ hình kết nối trực tiếp 2.2.2 Mơ hình bus 2.2.3 Mơ hình mạng chip 2.3 Các vấn đề giao tiếp mạng 2.3.1 Mơ hình truyền thông ph 2.3.2 Topo mạng 2.3.3 Kỹ thuật chuyển mạch 2.3.4 Kênh ảo 2.3.5 Định tuyến 2.4 Các thành phần hệ thống ứng dụng NoC 2.4.1 Resource 2.4.2 Resource Network Inter i 2.4.3 2.5 Router Cơng cụ phát triển 2.5.1 Vai trị FPGA thiết 2.5.2 Công cụ phát triển Quar 2.5.3 Công cụ mô tả phần cứng VHDL 2.5.4 Công cụ Design Compil 2.5.5 Thư viện thiết kế CHƯƠNG THIẾT KẾ MƠ HÌNH ỨNG DỤNG MẠNG TRÊN CHIP 3.1 Thiết kế kiến trúc router 3.1.1 Kiến trúc bên 3.1.2 Kiến trúc bên 3.2 Thiết kế thành phần giao tiếp mạng 3.2.1 Các biến thể RNI 3.2.2 Các vấn đề đối mặt 3.2.3 Thiết kế cấu trúc slave R 3.2.4 Thiết kế cấu trúc master 3.3 Thiết kế tài nguyên mạng 3.3.1 Khối switch ngõ vào 3.3.2 Khối nhớ (dummy memory) 3.3.3 Khối xử lý (dummy pro 3.3.4 Khối traffic counter g CHƯƠNG ĐÁNH GIÁ THIẾT KẾ 4.2 Đánh giá hoạt động thực nghiệm mơ hình 4.2 Đánh giá tài ngun thiết kế FPGA 4.3 Đánh giá thiết kế mặt cơng suất, diện tích thời g 4.3.1 Công suất tiêu thụ 4.3.2 Tần số hoạt động 4.3.3 Diện tích CHƯƠNG KẾT LUẬN 5.1 Kết đạt 5.2 Phần khuyến nghị ii 5.2.1 Những tồn đề tài 80 5.2.2 Các giải pháp cải tiến thiết kế 81 5.2.2.1 Giảm số lượng ghi cổng logic 81 5.2.2.2 Độ rộng liệu 81 5.2.2.3 Bộ đệm RNI 82 5.2.2.4 Kích thước mạng thay đổi 82 5.2.2.5 Bidirectional router 82 5.2.2.6 Gói tin chứa đa flit 83 5.2.2.7 Cơ chế Wormhole 83 5.2.2.8 Cơ chế kênh ảo 83 5.2.2.9 Thuật toán định tuyến 84 5.2.2.10 Độ ưu tiên việc định tuyến 84 iii DANH SÁCH CHỮ VIẾT TẮ T NoC Network on Chip SoC System on Chip RNI Resource Network Interface IP Intellectual Property CPU Central Processing Unit AMBA Advanced Microcontroller Bus Architecture MPSoC Multiprocessor System-on-Chip FPGA Field-programmable gate array DC Design Compiler FSM Finite State Machine DOR Demension Ordered Routing QoS Quality of Service DSP Digital Signal Processing ASIC Application Specific Integrated Circuit IC Integrated Circuit HDL Hardware Description Language RTL Register Transfer Level UART Universal Asynchronous Receiver-Transmitter IDE Integrated Development Environment VHDL Very High Speed Integrated Circuit HDL IEEE Institute of Electrical and Electronic Engineer EDA Electronic Design Automation ID Identification OSI Open Systems Interconnection iv DANH SÁCH CÁC HÌNH Hình 1.1: Các thành phần SoC Hình 1.2: Kiến trúc hệ thống dựa mơ hình bus AMBA [5] Hình 1.3: Phương pháp thiết kế NoC MPSoC có tích hợp lớn [2] Hình 1.4: Mơ hình SoC dựa tảng truyền thơng NoC [7] Hình 2.1: Truyền thơng SoC dựa mơ hình kết nối trực tiếp [7] Hình 2.2: Truyền thơng SoC dựa mơ hình bus [7] Hình 2.3: Truyền thơng SoC dựa mơ hình mạng chip [7] Hình 2.4: Topo dạng vịng, lưới chiều dạng torus Hình 2.5: Topo dạng lưới với kích thước 2*3 [12] Hình 2.6: Thiết kế tổng quát bên switch [2] Hình 2.7: Kết nối router với router trung gian [2] Hình 2.8: Kỹ thuật chuyển mạch store-and-forward [3] Hình 2.9: Virtual Cut-Through trường hợp khơng có trì hỗn [3] Hình 2.10: Virtual Cut-Through trường hợp có trì hỗn [3] Hình 2.11: Message chia nhỏ thành flit để di chuyển mạng [3] Hình 2.12: Phương pháp điều khiển luồng wormhole [3] Hình 2.13: kênh ảo chia sẻ kênh truyền vật lý [3] Hình 2.14: Sơ đồ phương pháp định tuyến [6] Hình 2.15: Đường gói tin theo chế định tuyến OXY [1] Hình 2.16: Gói tin di chuyển hướng hướng theo chiều X-Y Hình 2.17: Kiến trúc router [2] Hình 2.18: Thể bên FPGA [20] Hình 2.19: Design flow Quartus [21] Hình 2.20: Sơ đồ mơ tả chức Design Compiler v Hình 3.1: Thiết kế router với kênh truyền vật lý vào/ra hướng Hình 3.2: Topo dạng lưới chiều với 16 node mạng Hình 3.3: Định tuyến Oxy cho mơ hình dạng lưới chiều Hình 3.4: Sơ đồ bước xử lý router [1] Hình 3.5: Kiến trúc tổng quát bên router [1] Hình 3.6: Kiến trúc tổng qt bên ngồi router Hình 3.7: Cấu trúc khối đệm luồng liệu ngõ vào router Hình 3.8: Độ ưu tiên cổng router Hình 3.9: Cấu trúc khối chuyển mạch router Hình 3.10: Mơ hình hố tín hiệu router Hình 3.11: Vị trí RNI hệ thống mạng chip [12] Hình 3.12: Thành phần kết nối RNI hệ thống mạng chip Hình 3.13: Giao diện giao tiếp master RNI với resource Hình 3.14: Giao diện giao tiếp slave RNI với resource Hình 3.15: Giao diện giao tiếp master RNI với router Hình 3.16: Giao diện giao tiếp slave RNI với router Hình 3.17: Cấu trúc tổng qt bên ngồi slave RNI Hình 3.18: Cấu trúc tổng qt bên ngồi master RNI Hình 3.19: Cấu trúc tổng qt bên ngồi switch Hình 3.20: Cấu trúc tổng qt bên ngồi dummy memory Hình 3.21: Cấu trúc tổng qt bên ngồi dummy processor Hình Mô tả kết nối board DE2 máy tính Hình 4.2: Tình trạng mạng switch trạng thái 0000 Hình 4.3: Tình trạng mạng switch trạng thái 0100 Hình 4.4: Tình trạng mạng switch trạng thái 0011 Hình 4.5: Tình trạng mạng switch trạng thái 0010 vi Chương Đánh giá thiết kế Diện tích thiết kế phụ thuộc vào thư viện tổng hợp thiết kế Ở thư viện sản xuất cell tối ưu cho kết diện tích thiết kế tối ưu Ngồi ra, diện tích thiết kế cịn phụ thuộc vào phương pháp tổng hợp thiết kế ràng buộc tổng hợp thiết kế Diện tích thiết kế tần số hoạt động có mối liên hệ Nếu tối ưu hố diện tích thiết kế xem không quan trọng vấn đề tần số hoạt động ngược lại Bảng sau thể kết báo cáo mặt tần số, cơng suất diện tích trường hợp tổng hợp khác Trong đó, kết mặt tần số ghi VIOLATED cho biết vi phạm mặt thời gian tổng hợp thiết kế với ràng buộc xác định Một thiết kế thoả mãn yêu cầu ràng buộc báo cáo mặt thời gian ghi MET 76 Chương Đánh giá thiết kế Bảng Thông tin cơng suất, diện tích thời gian với phương pháp tối ưu mức cao trình tổng hợp Tần số Mức cao 76 Mhz 77 Chương Đánh giá thiết kế Bảng 10 Thông tin công suất, diện tích thời gian với phương pháp tối ưu mức trung bình trình tổng hợp Tần số Mức trung bình 75Mhz 78 Chương Kết Luận CHƯƠNG KẾT LUẬN Chương trình bày kết mà đề tài đạt được, hạn chế cịn tồn thiết kế mơ hình minh hoạ ứng dụng NoC Ngoài đề xuất phương án thiết kế nhằm cải tiến hiệu cho mơ hình ứng dụng NoC trình bày phần 5.1 Kết đạt Mạng chip phương pháp xây dựng kết nối SoC đại, đặc biệt hệ thống đa lõi Với số kết nghiên cứu so sánh mơ hình truyền thơng NoC chip so với mơ hình bus [12] cho thấy cơng suất tần số hoạt động hệ thống ứng dụng NoC hiệu so với hệ thống ứng dụng bus kết nối Song mặt trì hỗn phân xử (arbitration delay) khả mở rộng hệ thống mơ hình truyền thơng NoC thể ưu điểm vượt trội Đề tài thực minh hoạ SoC sử dụng tảng giao tiếp NoC với phương pháp thực nghiệm (experimental approach) Phương pháp sử dụng công cụ mô phỏng, giả lập tảng phần cứng FPGA để đánh giá hoạt động hiệu thiết kế Mơ hình khơng nhằm để đưa thiết kế chi tiết cho ứng dụng cụ thể xử lý ảnh xử lý tín hiệu mà nhằm xây dựng mơ hình tổng qt minh họa SoC sử dụng tảng NoC giao tiếp Kết đề tài đạt số vấn đề như:  Xây dựng thành công mơ hình minh hoạ SoC ứng dụng NoC việc truyền thơng liêu Mơ hình mạng chip thiết kế với đặc tính như: topo mạng dạng lưới chiều, kích thước mạng 4*4 gồm 16 node, giao 79 Chương Kết Luận tiếp đơn vị gói tin (flit), chế điều khiển luồng request-return, chế điều khiển tắc nghẽn với tín hiệu busy router  Thực nghiệm thành cơng mơ hình SoC ứng dụng NoC tảng phần cứng FPGA cụ thể board DE2 Altera, nhằm xác nhận hoạt động thực tế mơ hình mạng NoC lưới 4*4 Bên cạnh đó, việc trực quan hóa hoạt động mơ hình mạng với giao diện Matlab hoàn thành  Đánh giá thiết kế tần số hoạt động, diện tích thiết kế, cơng suất tiêu thụ thiết kế với công cụ hỗ trợ thiết kế vi mạch Design Compiler thư viện saed90nm Synopsys Như vậy, kết đề tài làm tảng sở để:  Làm sở nghiên cứu, từ cải tiến phát triển đặc tính kiến trúc nhằm tăng hiệu cho thiết kế  Làm sở giảng dạy lĩnh vực Design for Configurable Architecture trường đại học 5.2 Phần khuyến nghị 5.2.1 Những tồn đề tài Bên cạnh kết được, mơ hình triển khai đề tài tồn nhiều điểm hạn chế như:  Gói tin bao gồm flit  RNI thực chức kết nối IP với thành phần router mạng Chưa triển khai chức chuyển đổi liệu từ gói tin thành flit mơ hình gói tin lớn chứa nhiều flit thành phần ngược lại  Chưa tối ưu phương pháp định tuyến, đường gói tin cố định Vì chưa linh hoạt việc chia sẻ đường truyền gói tin mạng nhằm nâng cao hiệu mạng Đây vấn đề nhằm cân tải (load balancing) mạng  Chưa triển khai chế nâng cao hiệu kênh truyền dẫn vật lý cách sử dụng chế kênh ảo nhằm xử lý trường hợp gói tin với nhiều flit kèm tốc độ chuyển giao liệu IP core lớn 80 Chương Kết Luận  Thiết kế SoC với lõi IP thực tế chưa đề cập Các IP core đề tài dummy proc, dummy mem, uart, switch…chỉ nhằm mục đích minh họa cho hoạt động SoC  latency Chưa đánh giá hiệu mạng với thông số throughput  Mỗi hướng bắt buộc gồm kênh truyền vật lý riêng biệt tương ứng kênh cho chiều ngõ vào kênh cho chiều ngõ liệu 5.2.2 Các giải pháp cải tiến thiết kế Với thiết kế lựa chọn đề tài, có số cải tiến cần thực thiết kế đưa vào ứng dụng thực tế 5.2.2.1 Giảm số lượng ghi cổng logic Thiết kế NoC có số lượng lớn ghi sử dụng cho đệm hầu hết chúng khơng sử dụng thời điểm Như có nhiều phương pháp để giảm số lượng mà khơng cần thay đổi thuật tốn định tuyến Một phương pháp xây dựng đệm tập trung cho ghi dành cho router có nhãn để router sử dụng ghi Điều cho phép số lượng ghi sử dụng Tuy nhiên, cần phải xây dựng điều khiển linh hoạt để kiểm soát việc sử dụng đệm tập trung 5.2.2.2 Độ rộng liệu Trong thiết kế sử dụng độ rộng cho kênh truyền dẫn 49 bit nhiên lượng thông tin hữu dụng flit bit Việc chọn số lượng bit flit việc kiểm thử đề tài có liên quan đến khối uart Khối yêu cầu liệu truyền dẫn bit Tuy nhiên, hệ thống thực tế nên có số lượng liệu lớn truyền dẫn gói tin Một lượng liệu lớn flit truyền làm giảm bớt chi phí phải phân tách nhỏ gói tin làm giảm tình trạng tắc nghẽn xung đột mạng Ngồi ra, việc thiết kế số lượng bit liệu thay đổi linh hoạt phải đối đầu với thách thức xây dựng chế linh hoạt so với việc cố định số lượng bit 81 Chương Kết Luận 5.2.2.3 Bộ đệm RNI Tất router có cấp đệm ngõ vào nhằm giải vấn đề tranh chấp với độ ưu tiên khác kênh truyền Tuy nhiên, với lựa chọn thiết kế đề tài RNI chưa xây dựng đệm bên Như cần lưu ý đến khả xây dựng đệm RNI nhằm giải vấn đề nghẽn liệu mạng có lượng lớn thơng tin truyền dẫn Tóm lại, RNI cần thiết phải xây dựng đệm khác để lưu trữ gói tin suốt trình truyền liệu từ node nguồn đến đích Bộ đệm liệu thứ xây dựng để lưu trữ tạm thời gói tin truyền từ IP core đến router đệm thứ hai xây dựng để lưu trữ gói tin truyền từ router đến IP core 5.2.2.4 Kích thước mạng thay đổi Với thiết kế lựa chọn kích thước mạng cố định chiều chiều gồm node Tuy nhiên, số ứng dụng thực tế yêu cầu linh hoạt nhiều nhiều 16 node mạng Như cần có chế thay đổi kích thước mạng cách linh hoạt Trong số cấu trúc router khác nhau, u cầu thay đổi kích thước gói tin với thay đổi x/y counter số node ID 5.2.2.5 Bidirectional router Phương án thiết kế đề tài sử dụng kênh truyền riêng biệt nhằm tách biệt ngõ vào cổng Tuy nhiên phương án tạo số vấn đề gia tăng số lượng dây dẫn, diện tích cơng suất thiết kế Một giải pháp khắc phục kiến trúc BiNoC đề xuất cho phép truyền dẫn liệu chiều kênh truyền vật lý nhằm cải thiện hiệu truyền Đối với thiết kế mơ hình BiNoC kênh truyền dẫn vật lý cho phép tự cấu hình cách linh hoạt chiều truyền dẫn hai chiều Điều làm tăng tính linh hoạt nhằm làm tăng băng thơng, giảm độ trễ việc phân phát gói tin mạng Chiều truyền dẫn kênh kiểm soát giao thức điều khiển chiều kênh dẫn (channel direction control protocol) Giao thức sử dụng làm tăng hiệu mạng, giải vấn đề deadlock starvation 82 Chương Kết Luận Như trình bày phần trước, xét khía cạnh chi phí dây dẫn ngang với chi phí cổng Như tương lai, chi phí dây dẫn đáng để xem xét Do đó, việc sử dụng dây dẫn hay kênh truyền vật lý cách hiệu việc quan trọng nhằm giảm chi phí hệ thống 5.2.2.6 Gói tin chứa đa flit Với phương án lựa chọn nhằm xây dựng mơ hình NoC đề tài, thiết kế đơn giản hố phần cứng nên gói tin chứa flit Tuy nhiên, thực tế gói tin có kích thước lớn phải có phương pháp để chia gói tin thành nhiều flit Bởi kích thước kênh dẫn khơng thể có độ rộng kích thước gói tin Việc cho phép chia gói tin làm nhiều flit dẫn đến kích thước gói tin lớn giảm độ rộng kênh dẫn Để giải yêu cầu việc tạo nhiều gói tin flit làm tăng độ phức tạp thuật toán định tuyến xảy vấn đề nghẽn mạng giải tranh chấp gói tin từ node gửi nhận khác Ngoài RNI phải đảm nhận chức xử lý nhiều gói tin tạo với nhiều xung clok Thiết kế đệm nhận liệu ngõ vào ngõ router vấn đề cần lưu ý 5.2.2.7 Cơ chế Wormhole Nếu gói tin gồm nhiều flit phương pháp chuyển mạch cần thiết triển khai theo wormhole phương pháp làm giảm đáng kể lượng nhớ đệm để lưu trữ gói tin router Việc thiết kế đệm có kích thước nhỏ làm giảm chi phí số vấn đề trình bày Tuy nhiên, phương pháp cần xây dựng chế quản lý việc sử dụng đệm flit Việc xếp flit cho xác với gói tin thách thức thiết kế 5.2.2.8 Cơ chế kênh ảo Khi triển khai phương pháp chuyển mạch gói wormhole vấn đề gặp phải deadlock Việc chia sẻ sử dụng chung kênh truyền vật lý router phương pháp tăng hiệu giảm diện tích thiết kế, bên cạnh giảm bớt tình trạng head-of-line gói tin 83 Chương Kết Luận 5.2.2.9 Thuật toán định tuyến NoC sử dụng phương pháp đơn giản để định tuyến gói tin đường dẫn cụ thể Tuy nhiên, đường định tuyến gói tin thay đổi router dựa tình trạng lưu lượng kênh dẫn Một thuật toán định tuyến linh hoạt giảm thời gian định tuyến gói tin ngược lại làm tăng vấn đề phức tạp thiết kế router router phải xử lý linh hoạt 5.2.2.10 Độ ưu tiên việc định tuyến Việc sử dụng chế độ ưu tiên cố định làm giảm độ phức tạp phần định tuyến Tuy nhiên, thiết kế cần thiết xây dựng chế xử lý độ ưu tiên định tuyến linh hoạt độ ưu tiên ngõ vào thay đổi theo chế round robin Điều mang lại lợi ích IP core đưa độ ưu tiên cho gói tin gói tin quan trọng định tuyến mạng nhanh 84 TÀI LIỆU THAM KHẢO Chen S-J, Lan Y-C, Wen-ChungTsai, Hu Y-H Reconfigurable Networks-onChip: Springer; 2011 Jantsch A, Tenhunen H Networks on Chip: Springer; Kluwer Academic Publishers; 2004 Hill M, Wisconsin Uo, Madison On-Chip Networks, Synthesis Lectures on Computer Architecture 2006-2009 p 141 Karlsruhe G 2011 22nd IEEE International Symposium on Rapid System Prototyping IEEE Reliability Society 2011 (2011 22nd IEEE International Symposium on Rapid System Prototyping):212 MileStojcev M An Overview of On-Chip Buses Facta Universitatis 2009 Agarwal A, Iskander C, Shankar R Survey of Network on Chip (NoC) Architectures & Contributions Journal of Engineering, Computing and Architecture 2009 Mahmood ZAMA Design And Prototype Of Resource Network Interfaces For Network On Chip 2009 Hung-Chih Lai RM, Marios Savvides, and Tsuhan Chen CommunicationAware Face Detection Using Noc Architecture 2006 (Apps of NoC) 10 Mello A, Tedesco L, Calazans N, Moraes F Virtual Channels in Networks on Chip: Implementation and Evaluation on Hermes NoC 2005:6 10 Park S A Veriog-Hdl Implementation Of Virtual Channels In A Network-OnChip Router [Computer Engineering]: Texas A&M University; 2008 11 Qian Y, Lu Z, Dou W Analysis of Worst-case Delay Bounds for Best-effort Communication in Wormhole Networks on Chip IEEE 2009:10 12 S Kurup1 TM A comparison of traditional on-chip interconnects with Network-on-Chip architecture California State University, Long Beach, California, USA 2006 (maybe) 13 Seyyed Amir Asghari HP, Mohammad Khademi, and Pooria Yaghini Amirkabir University of Technology, dér Engineering and Information Technology, Tehran, Iran Designing and Implementation of a Network on Chip Router Based on Handshaking Communication Mechanism 2009 14 VilleRantala, TeijoLehtonen, JuhaPlosila Network on Chip Routing Algorithms 2006 15 Xu Y, BoZhaoy, YoutaoZhangz, JunYang Simple Virtual Channel Allocation for High Throughput and High Frequency On-Chip Routers IEEE 2009:11 16 ZhonghaiLu Using Wormhole Switching for Networks on Chip: Feasibility Analysis and Microarchitecture Adaptation 2005 17 Pham D, Le T Reduced Clock Cycle Latency Router Architecture In Network-On-Chip (NoC) 2012:6 85 18 Nẵng; Thang HV.Nghiên cứu thực thi NoC tảng FPGA:BK Đà 2007 19 Graeme Best MB, Oscar Rahnama, Wojciech Pawlak Design and implementation of a simple mesh Network-on-Chip 2012 20 Malviya S Five Port Router for Network on Chip 2004:6 21 Pui R, Pau H A Configurable Router for Embedded Network-on-Chip Support in Field-Programmable Gate Arrays: Queen’s University; 2008 22 Sridhar Gangadharan SC Constraining Designs for Synthesis and Timing Analysis Spinger; 2013 245 p 23 Altera Introduction to the Quartus® II Software Altera: Version 10.0 Altera; 2010 24 Bhatnagar H Advanced Asic Chip Synthesis Using Synopsys® Design Compiler™ Physical Compiler™ and PrimeTime®: Kluwer Academic Publishers 2002 25 Synopsys Design Compiler Tutorial Using Design Vision SynopsysVersion B-2008.09, June 2009 26 Synopsys Synopsys 90nm Generic Library for Teaching IC Design Synopsys (Synopsys 90nm Generic Library):2 86 ... HỒ CHÍ MINH BÁO CÁO TỔNG KẾT ĐỀ TÀI KH&CN CẤP TRƯỜNG XÂY DỰNG MÔ HÌNH MẠNG TRÊN CHIP (NOCNETWORK ON CHIP) ỨNG DỤNG TRONG THIẾT KẾ VI MẠCH Mã số: T2014-26 Chủ nhiệm đề tài: Ths, Phạm Văn Khoa TP... ĐIỆN – ĐIỆN TỬ BÁO CÁO TỔNG KẾT ĐỀ TÀI KH&CN CẤP TRƯỜNG XÂY DỰNG MƠ HÌNH MẠNG TRÊN CHIP (NOCNETWORK ON CHIP) ỨNG DỤNG TRONG THIẾT KẾ VI MẠCH Mã số: T2014-26 Chủ nhiệm đề tài: Ths, Phạm Văn Khoa TP... 33 Chương Thiết Kế Mơ Hình Ứng Dụng Mạng Trên Chip CHƯƠNG THIẾT KẾ MƠ HÌNH ỨNG DỤNG MẠNG TRÊN CHIP Chương trình bày phân tích thiết kế phương pháp xây dựng thành phần hệ thống ứng dụng tảng truyền

Ngày đăng: 29/12/2021, 05:43

Hình ảnh liên quan

XÂY DỰNG MÔ HÌNH MẠNG TRÊN CHIP (NOC- (NOC-NETWORK ON CHIP) ỨNG DỤNG TRONG - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch
XÂY DỰNG MÔ HÌNH MẠNG TRÊN CHIP (NOC- (NOC-NETWORK ON CHIP) ỨNG DỤNG TRONG Xem tại trang 1 của tài liệu.
Hình 1.1: Các thành phần trong một SoC - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 1.1.

Các thành phần trong một SoC Xem tại trang 12 của tài liệu.
Hình 1.2: Kiến trúc hệ thống dựa trên mô hình bus AMBA[5] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 1.2.

Kiến trúc hệ thống dựa trên mô hình bus AMBA[5] Xem tại trang 14 của tài liệu.
Hình 1.4: Mô hình SoC dựa trên nền tảng truyền thông NoC[7] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 1.4.

Mô hình SoC dựa trên nền tảng truyền thông NoC[7] Xem tại trang 16 của tài liệu.
Hình 2.1: Truyền thông trên SoC dựa trên mô hình kết nối trực tiếp [7] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.1.

Truyền thông trên SoC dựa trên mô hình kết nối trực tiếp [7] Xem tại trang 22 của tài liệu.
Hình 2.2: Truyền thông trên SoC dựa trên mô hình bus[7] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.2.

Truyền thông trên SoC dựa trên mô hình bus[7] Xem tại trang 23 của tài liệu.
Hình 2.3: Truyền thông trên SoC dựa trên mô hình mạng trên chip [7] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.3.

Truyền thông trên SoC dựa trên mô hình mạng trên chip [7] Xem tại trang 24 của tài liệu.
Hình 2.5: Topo dạng lưới với kích thước 2*3 [12] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.5.

Topo dạng lưới với kích thước 2*3 [12] Xem tại trang 26 của tài liệu.
Hình 2.6: Thiết kế tổng quát bên trong một switch [2] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.6.

Thiết kế tổng quát bên trong một switch [2] Xem tại trang 27 của tài liệu.
Hình 2.8: Kỹ thuật chuyển mạch store-and-forward [3] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.8.

Kỹ thuật chuyển mạch store-and-forward [3] Xem tại trang 29 của tài liệu.
Hình 2.14: Sơ đồ các phương pháp định tuyến [6] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.14.

Sơ đồ các phương pháp định tuyến [6] Xem tại trang 34 của tài liệu.
Hình 2.17: Kiến trúc cơ bản của router [2] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.17.

Kiến trúc cơ bản của router [2] Xem tại trang 39 của tài liệu.
Hình 2.19: Design flow của Quartus [23] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.19.

Design flow của Quartus [23] Xem tại trang 41 của tài liệu.
Hình 2.20: Sơ đồ mô tả chức năng của Design Compiler 2.5.5 Thư viện thiết kế - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 2.20.

Sơ đồ mô tả chức năng của Design Compiler 2.5.5 Thư viện thiết kế Xem tại trang 43 của tài liệu.
Hình 3.2: Topo dạng lưới 2 chiều với 16node mạng - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.2.

Topo dạng lưới 2 chiều với 16node mạng Xem tại trang 47 của tài liệu.
Hình 3.3: Định tuyến Oxy cho mô hình dạng lưới 2 chiều - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.3.

Định tuyến Oxy cho mô hình dạng lưới 2 chiều Xem tại trang 48 của tài liệu.
Hình 3.4: Sơ đồ các bước xử lý đối với một router cơ bản [1] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.4.

Sơ đồ các bước xử lý đối với một router cơ bản [1] Xem tại trang 51 của tài liệu.
Hình 3.5: Kiến trúc tổng quát bên trong của router [1] Kiến trúc bên trong router bao gồm 2 lớp chính: - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.5.

Kiến trúc tổng quát bên trong của router [1] Kiến trúc bên trong router bao gồm 2 lớp chính: Xem tại trang 52 của tài liệu.
Hình 3.7: Cấu trúc khối bộ đệm và luồng dữ liệu tại ngõ vào router - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.7.

Cấu trúc khối bộ đệm và luồng dữ liệu tại ngõ vào router Xem tại trang 53 của tài liệu.
Hình 3.6: Kiến trúc tổng quát bên ngoài của một router 3.1.2.1 Thiết kế bộ nhớ đệm cho gói tin - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.6.

Kiến trúc tổng quát bên ngoài của một router 3.1.2.1 Thiết kế bộ nhớ đệm cho gói tin Xem tại trang 53 của tài liệu.
Hình 3.8: Độ ưu tiên các cổng trên router - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.8.

Độ ưu tiên các cổng trên router Xem tại trang 57 của tài liệu.
Hình 3.9: Cấu trúc khối chuyển mạch router - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.9.

Cấu trúc khối chuyển mạch router Xem tại trang 59 của tài liệu.
Hình 3.11: Vị trí của RNI trên hệ thống mạng trên chip [12] - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.11.

Vị trí của RNI trên hệ thống mạng trên chip [12] Xem tại trang 60 của tài liệu.
Hình 3.13: Giao diện giao tiếp giữa master RNI với resource - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 3.13.

Giao diện giao tiếp giữa master RNI với resource Xem tại trang 64 của tài liệu.
Bảng 3.1: Ý nghĩa của các chân tín hiệu của slave RNI - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Bảng 3.1.

Ý nghĩa của các chân tín hiệu của slave RNI Xem tại trang 67 của tài liệu.
Bảng 4.2 Mô tả hành vi một số resource trên mạng - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Bảng 4.2.

Mô tả hành vi một số resource trên mạng Xem tại trang 79 của tài liệu.
Hình 4.2: Tình trạng mạng khi switc hở trạng thái 0000 Dummy proc tại node 0101 cứ mỗi 10 chu kỳ xung sẽ gửi: - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 4.2.

Tình trạng mạng khi switc hở trạng thái 0000 Dummy proc tại node 0101 cứ mỗi 10 chu kỳ xung sẽ gửi: Xem tại trang 80 của tài liệu.
Hình 4.3: Tình trạng mạng khi switc hở trạng thái 0100 - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 4.3.

Tình trạng mạng khi switc hở trạng thái 0100 Xem tại trang 82 của tài liệu.
Hình 4.4: Tình trạng mạng khi switc hở trạng thái 0011 - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 4.4.

Tình trạng mạng khi switc hở trạng thái 0011 Xem tại trang 82 của tài liệu.
Hình 4.5: Tình trạng mạng khi switc hở trạng thái 0010 - (Đề tài NCKH) xây dựng mô hình mạng trên chip (NOC NETWORK ON CHIP) ứng dụng trong thiết kế vi mạch

Hình 4.5.

Tình trạng mạng khi switc hở trạng thái 0010 Xem tại trang 83 của tài liệu.