(Tiểu luận) báo cáobài tập lớn môn thiết kế logic số viết chương trình điều khiển 8 led sang lan từ giữa sang hai bên viết testbench để kiểm tra hoạt động của mạch

30 5 0
(Tiểu luận) báo cáobài tập lớn môn thiết kế logic số viết chương trình điều khiển 8 led sang lan từ giữa sang hai bên  viết testbench để kiểm tra hoạt động của mạch

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG KHOA KỸ THUẬT ĐIỆN TỬ ‫־־־־־‬‫־־־־־‬ BÁO CÁO BÀI TẬP LỚN MƠN THIẾT KẾ LOGIC SỐ Nhóm 17 Giảng Viên: TS Trần Thị Thúy Hà Tên Thành Viên: Vũ Văn Luật: B20DCDT122 Đồn Cơng Minh: B20DCDT134 Hà Nội – 2023 MỤC LỤC Câu 1: Viết chương trình điều khiển led sang lan từ sang hai bên Viết testbench để kiểm tra hoạt động mạch .3 Sơ đồ khối .3 Nguyên lý 3 Quá trình thực .3 Kết Câu 2: .6 Phần 1: Phân tích Phần 2: Code VHDL Câu 3: .13 Phần 1: Tính mã Gray 13 Phần 2: Hiển thị sử dụng led đoạn Anode chung 14 Phần 3: Code VHDL 15 Câu 4: Viết chương trình mơ tả giải mã 3:8, có đầu vào điều khiển hoạt động mức thấp, lối hiển thị LED đơn Viết testbench để kiểm tra hoạt động mạch 18 Sơ đồ khối .18 Nguyên lý 18 Quá trình thực .18 Kết 22 Câu 5: .22 Phần 1: Phân tích mạch logic 22 Phần 2: Code VHDL 23 Câu 1: Viết chương trình điều khiển led sang lan từ sang hai bên Viết testbench để kiểm tra hoạt động mạch Sơ đồ khối clk rst leds MDK led Nguyên lý + Mạch điều khiển LED kích hoạt tín hiệu clk (clock) tín hiệu reset (đặt lại) + Khi tín hiệu reset kích hoạt (ở mức logic '1'), mạch đặt lại trạng thái ban đầu ( trang thái ban đầu 00000000 ) Các LED tắt ( LED đơn đấu anode chung ) + Khi tín hiệu reset không, mạch hoạt động sau: Nếu trạng thái 00000000 trạng thái 00011000 hai LED bật, lại tất LED khác tắt • Nếu trạng thái 00011000 trạng thái 00111100 bốn LED sáng • • • • Nếu trạng thái 00111100 trạng thái 01111110 sáu LED sáng Nếu trạng thái 01111110 trạng thái 111111 tám LED sáng Nếu trạng thái 11111111 trạng thái 00000000 tám LED tắt Quá trình thực - Main library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity dk_8_led_test is Port ( clock : in std_logic; reset : in std_logic; led_out : out std_logic_vector(7 downto 0) ); end dk_8_led_test; architecture Behavioral of dk_8_led_test is signal t : std_logic_vector(7 downto 0); begin process( clock, reset) begin if (reset = '1') then t chuc "10010010"; 05 when "0110" => chuc "10000011"; 06 when "0111" => chuc "11111000"; 07 when "1000" => chuc "10000000"; 08 when "1001" => chuc "10010000"; 09 when "1010" => chuc "11000000"; 10 when "1011" => chuc "11111001"; 11 when "1100" => chuc "10100100"; 12

Ngày đăng: 26/12/2023, 04:57

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan