kl lu thi kim quy 910638d

92 4 0
kl lu thi kim quy 910638d

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị MỤC LỤC CHƯƠNG : TÌM HIỂU VỀ XỬ LÝ NHẬN DẠNG ẢNH PHÂN ĐOẠN ẢNH 1.1 SƠ LƯỢC VỀ KỸ THUẬT XỬ LÝ VÀ NHẬNN DẠNG VÀ PHÂN ĐOẠN ẢNH 1.1.1 Tổng quan hệ thống xử lý ảnh 1.1.1.1 Khái niệm 1.1.1.2 Các giai đoạn trình xử lý ảnh : 1.1.2 Các thành phần hệ thống xử lý ảnh 1.2 BIỂU DIỄN TÍN HIỆU HÌNH ẢNH TRONG KHƠNG GIAN VÀ THỜI GIAN 10 1.3 Hình ảnh tương tự 10 1.3.1 Biễu diễn ảnh mô hình hóa ảnh 11 1.3.1.1 Biễu diễn ảnh số 11 1.3.1.2 Mô hình hóa ảnh 12 1.4 TỔNG QUAN VỀ NHẬN DẠNG 12 1.4.1 Không gian biểu diễn đối tượng, không gian diễn dịch 12 1.4.1.1 Không gian biễu diễn đối tượng 12 1.4.1.2 Không gian diễn dịch 13 1.4.2 Mơ hình chất trình Nhận dạng 13 1.4.2.1 Mơ hình 13 1.4.2.2 Bản chất trình nhận dạng 14 1.5 RESIZE (THAY ĐỔI KÍCH THƯỚC ) ẢNH VÀ CÁC VẤN ĐỀ LIÊN QUAN 16 1.5.1 Khái niệm 16 1.5.2 Method: phương pháp nội suy 19 1.6 PHÂN VÙNG ( PHÂN ĐOẠN) ẢNH : 19 1.6.1 Biên kỹ thuật phân vùng dựa biên 19 1.6.2 Phương pháp phân vùng ảnh dựa miền 21 1.6.2.1 Mở rộng miền : 21 1.6.2.2 Hợp miền : 21 1.6.2.3 Phân chia miền : 21 CHƯƠNG : TỔNG QUAN VỀ MẠNG NƠRON 23 2.1 KHÁI NIỆM VỀ MẠNG NƠ-RON ( NEURAL ) : 23 2.1.1 Tìm hiểu neural 23 2.1.1.1 Neural sinh học 23 2.1.1.2 Neural nhân tạo 24 GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị 2.1.2 Một số loại mạng neural 28 2.1.2.1 Mạng dẫn tiến 28 2.1.2.2 Các mạng dẫn tiến đơn mức 28 2.1.2.3 Các mạng dẫn tiến đa mức 28 2.1.2.4 Mạng quy hồi : 29 2.1.2.5 Các mạng nơ ron lớp 30 2.2 XÂY DỰNG MẠNG NORON 34 2.3 HUẤN LUYỆN MẠNG NORON 35 2.3.1 Phương pháp học 35 2.3.1.1 Học có giám sát 35 2.3.1.2 Học không giám sát 35 2.3.1.3 Học tăng cường 36 2.4 THU NHẬP DỮ LIỆU CHO MẠNG NORON 36 2.5 MỘT SỐ VẤN ĐỀ CỦA MẠNG NORON 36 2.6 Ứng dụng mạng neural 37 2.6.1 Ứng dụng mạng neural lan truyền ngược hướng cho nhận dạng ký tự 37 2.6.1.1 Mở đầu : 37 2.6.1.2 Nhận dạng mạng neural lan truyền ngược hướng cho nhận dang ký tự 38 2.6.2 Những hạn chế phương pháp lan truyền ngược: 40 CHƯƠNG : CÔNG NGHỆ FGPA 41 3.1 GIỚI THIỆU 41 3.1.1 FGPA ? 42 3.1.1.1 Các logic block 42 3.1.1.2 Các nguồn kết nối 42 3.1.1.3 Cấu hình khối I/O 43 3.1.1.4 Lập trình bên 43 3.1.1.5 Mạch xung block 44 3.1.2 Ứng dụng loại FPGA 44 3.1.2.1 Các loại FGPA 44 3.1.2.2 Các cơng nghệ lập trình Chip 44 3.1.2.3 Các loại FGPA thị trường: 45 3.1.3 Ánh xạ công nghệ FPGA 46 3.1.3.1 Sự tối ưu logic 47 3.1.3.2 Ánh xạ công nghệ 47 3.1.4 Placement (Bố trí) 48 3.1.4.1 Giới thiệu 48 GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị 3.1.4.2 Placement mức hệ thống: 48 3.1.4.3 Placement mức chip: 48 3.1.5 Gán Chân (Assignment) 48 3.2 KẾT HỢP CÁC BƯỚC THIẾT KẾ 49 CHƯƠNG : THỰC HIỆN VÀ KẾT QUẢ 50 4.1 MƠ HÌNH CHO HỆ THỐNG NHẬN DẠNG CỦA THIẾT BỊ : 50 4.2 XÂY DƯN VÀ KIỂM CHỨNG CÁC GIẢI THUẬT RESIZE VÀ PHÂN ĐOẠN ẢNH BẰNG MATLAB 51 4.2.1 RESIZE ẢNH : 51 4.2.1.1 Sơ đồ giải thuật 51 4.2.1.2 Chương trình giải thuật resize ảnh 52 4.2.1.3 Các vấn đề gặp phải resize ảnh 54 4.2.2 Thực phân vùng tách đối tượng ảnh : 56 4.2.2.1 Sơ đồ giải thuật thực 57 4.2.2.2 Các gai đoạn nhận dạng phân vùng 57 4.3 THỰC HIỆN CÁC GIẢI THUẬT TRÊN NGÔN NGỮ THIẾT KẾ PHẦN CỨNG (VHDL) 68 4.3.1 Xây dựng sơ đồ khối để thực giải thuật nói 69 4.3.2 Máy trạng thái 71 4.3.2.1 Các trạng thái trình quét ma trận ảnh đánh nhãn 72 4.3.2.2 Các trạng thái trình cập nhật ảnh: 79 4.3.2.3 Các trạng thái q trình phân vùng, cập nhật lại nhãn có liên kết vùng 84 4.4 MỘT SỐ KẾT QUẢ (TRƯỚC) CHO VIỆC NHẬN DẠNG KÍ TỰ QUA MẠNG NƠRON 91 4.5 HƯỚNG PHÁT TRIỂN CỦA ĐỂ TÀI 92 GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị CÁC TỪ VIẾT TẮT AMD AMDL ASCII: ASIC: C CCD CLBs CPLDs CRT DVD FPGAs EPROM EEPROM FPG LCD LMs MPGA MLP MPGAs PROM PLD PAL PLA PSF PLD PIA ROM S VHDL VLSI VGA :Advance d Micro Device :Advance d Micro Devices Lo gic : America Standard Code for Information Interchange : Application Specific Integrated Circuits : Co mbiti onal :Charge C ouple D evice –lin h kiện g hép điện tích : configur able L o gic Block : complex programmable logic devices :Cathode- Ray Tu be : Digital Video Disc :Field Prog mma ble Gate A rray s :Erasable Progra mmable R ead O nl y Memory :Electrically Eras able Progr a mmab le Read_On ly Memo ry :Field Prog mma ble Gate :Liquid Cry stal D isplay :Logic Mo dules :mask – progra mma ble gate array s :Multiplay er Perc eptron :Mask Pro gra mmable Gate Array s : Progra mmable r ead – Only Me mo ry :Progra mmable L ogic Divice :Progra mmable ar ray logic :Progra mmable lo gic array :Point Sp read F u ntion :Picture La ngua g e Descripti on :Progra mmable I nterconnec t Arra y :Rando m Me mory :Sequenti al mod ul e :Very High Descri ption La nguage : Very Large Scal e Intergrati on : Video Graphics Array GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị LỜI NÓI ĐẦU Thiết bị Nhận dạng hình ảnh, kí tự thiết bị mang tính ứng dụng cao sống đại ngày Nó hữu ích, robot, làm việc thay cho người Lượng thơng tin chứa đựng hình ảnh lớn việc tự động xử lý “hiểu” thơng tin hình ảnh vấn đề lớn nhiều nhà khoa học quan tâm Việc nhận dạng ký tự tổng quát bao gồm ký tự in, viết tay, thường hoa toán lớn liên quan đến nhiều vấn đề khác hệ thống nhận dạng.Trên giới có nhiều chương trình nhận dạng ký tự thứ tiếng Anh, Nga, v.v…như hệ OMNIPAGE, READ-WRITE, WORD_SAN…Trong Luận Văn nhằm tìm hiểu số khái niệm nhận dạng ký tự dựa nơron đánh giá cao kết thử nghiệm cho kết tốt VHDL, Verilog ngôn ngữ mô tả phần cứng hiệu ,chúng ta lập trình ngơn để mô tả thực thể mà ta mong muốn Vi mạch FPGA có cấu trúc dãy hay ma trận phấn tử logic lập trình nối kết khối logic lập trình để tạo thành mạch theo yêu cầu FPGAs thiết bị để xây dựng mạch logic tùy ý cách lập trình khối logic kết nối thay cho phương pháp thiết kế mạch logic cổ điển Với ưu điểm vi mạch FPGA tiềm ứng dụng việc tự động nhận dạng ảnh, kí tự em chọn thực đề tài: “ Thiết kế thiết bị nhận dạng kí tự hỗ trợ việc đọc cho người khiếm thị ” GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị CHƯƠNG : TÌM HIỂU VỀ XỬ LÝ NHẬN DẠNG ẢNH PHÂN ĐOẠN ẢNH 1.1 SƠ LƯỢC VỀ KỸ THUẬT XỬ LÝ VÀ NHẬNN DẠNG VÀ PHÂN ĐOẠN ẢNH 1.1.1 Tổng quan hệ thống xử lý ảnh 1.1.1.1 Khái niệm Xử lý ảnh khoa học tương đối mẻ so với nhiều ngành khoa học khác, qui mô công nghiệp, song xử lý ảnh bắt đầu xuất máy tính chun dụng Để hình dung cấu hình hệ thống xử lý ảnh chuyên dụng hay hệ thống xử lý ảnh dùng nghiên cứu, đào tạo, trước hết xem xét bước cần thiết xử lý ảnh Hình ảnh biễu diễn hàm hai chiều f(x,y), x y tọa độ không gian phẳng (2 chiều ) Khi xét đến ảnh “đen trắng”, giá trị hàm f điểm xác định tọa độ (x,y) gọi độ chói ( mức xám ) ảnh điệm Nếu x,y f số hữu giá trị rời rạc, có ảnh số xử lý ảnh số q trình biến đổi ảnh số máy tính (PC) Như vây, ảnh số tạo số hữu hạn điểm ảnh, điểm ảnh nằm vị trí xác định có giá trị định Một điểm ảnh ảnh gọi pixel Có thể tạm phân biệt hệ thống xử lý ảnh theo mức độ phức tạp thuật toán xử lý ành sau:  Xử lý ảnh mức thấp : Đó q trình biến đổi đơn giản thực lọc nhằm khử nhiễu ảnh, tăng cường độ tương phản hay độ nét ảnh Trong trường hợp này, tín hiệu đưa vào hệ thống xử lý tín hiệu đầu ảnh quang học  Xử lý ảnh mức trung: Qquá trình xử lý phức tạp hơn, thường sử dụng để phân lớp, phân đoạn ảnh, xác định dự đoán biên ảnh , nén ảnh đẻ lưu trữ truyền phát Đặc điểm hệ thống xử lý ảnh mức trung tín hiệu đầu vào hình ảnh, cịn tín hiệu đầu thành phần tách từ hình ảnh gốc, luồng liệu nhận sau nén ảnh  Xử lý ảnh mức cao: Là trình phân tích nhận dạng hình ảnh Đây trình xử lý thực hệ thống thị giác người GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị 1.1.1.2 Các giai đoạn trình xử lý ảnh :  Quá trình thu nhận ảnh: Đây giai đoạn quan trọng toàn trình xử lý ảnh Ảnh nhận ảnh gốc để dưa vào xử lý giai đoạn sau, trường hợp ảnh gốc có chất lượng hiệu bước xử lý bị giảm Ảnh thu nhận qua Camera tín hiệu tương tự (loại Camera CCIR , tín hiệu số CCD)  Tiền xử lý ảnh: Giai đoạn xử lý tương đối đơn giản nhằm nâng cao chất lượng ảnh để trợ giúp cho trình xử lý nâng cao tiếp theo, ví dụ : tăng độ tương phản , làm nổi, đường biên, khử nhiễu v.v  Phân đoạn: Là trình tách hình ảnh thành phần vật thể riêng biệt Đây vấn đề khó giải lĩnh vực xử lý ảnh Nếu thực tách chi tiết tốn nhận dạng thành phần tách trở nên phức tạp, ngược lại trình phân đoạn thực q thơ phân đoạn sai kết nhận cuối khơng xác  Biểu diễn mơ tả: Là trình xử lý tiếp sau khâu phân đoạn hình ảnh Các vật thể sau phân đoạn mô tả dạng chuỗi điển ảnh tạo nên ranh giới vùng, tập hợp tất điểm ảnh nằm vùng Phương pháp mơ tả thông qua ranh giới vùng thường sử dụng cần tập trung ý vào hình dạng bên chi tiết ảnh độ cong, góc cạnh … Biểu diễn vùng thường sử dụng quan tâm tới đặc tính bên vùng ảnh đường vân (texture) hay hình dạng (skeletal)  Nén ảnh: Bao gồm biện pháp giảm thiểu dung lượng nhớ cần thiết để lưu trữ hình ảnh, hay giảm băng thơng kênh truyền, cần thiết để truyền tín hiệu hình ảnh số Muốn giảm thiểu hóa ảnh ta cần phải tiến hành lấy mẫu lượng tử hóa  Lấy mẫu tín hiệu Q trình lấy mẫu tín hiệu mơ tả hình Tín hiệu video ứng với dịng ảnh AB tín hiệu chiều liên tục theo thời gian có biên độ biến đổi liên tục Khi lấy mẫu, thời gian truyền dòng AB chia thành nhiều đoạn Giá trị tín hiệu điểm lấy mẫu đánh dấu ô vuông độ thị Theo định lý lấy mẫu Nyquist, tần số lấy mẫu rời rạc nhận hoàn toàn xác định tín hiệu GVHD : ThS Hồng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị  Lượng tử hóa Để biến đổi tiếp tín hiệu thành dạng số, phải thực giai đoạn lượng tử hóa mẫu nhận Đây trình rới rạc hóa tín hiệu theo biên độ Trên hình thang xám chia thành mức rời rạc từ mức trắng tới mức đen Lượng tử hóa thực đơn giản cách tìm giá trị mức lượng tử gần giống với giá trị thực mẫu gán giá trị cho mẫu ảnh Kết nhận sau lấy mẫu lượng tử hóa chuỗi số rời rạc mơ tả biến đổi độ chói dịng ảnh Nếu thực q trình số hóa cho tất dịng ảnh từ xuống dưới, nhận ảnh số không gian hai chiều Hình Q trình số hóa tín hiệu video Hình Ảnh tương tự ảnh số  Nhận dạng: Là trình phân loại vật thể dựa sở chi tiết mô tả vật thể (ví dụ phương tiện giao thơng có ảnh) Hình giai đoạn xử lý ảnh GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp  TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị Pixel (phần tử ảnh) Trong thực tế ảnh liên tục không gian giá trị độ sáng Để xử lý ảnh máy tính cần thiêt phải tiến hành số hố ảnh Trong q trình số hố, người ta biến đổi tín hiệu liên tục sang tín hiệu rời rạc thơng qua q trình lấy mẫu (rời rạc hố khơng gian) lượng hố thành phần giá trị mà thể nguyên tắc mắt thường không phân biệt hai điểm kề Trong trình này, người ta sử dụng khái niệm Pixel Cần phân biệt pixel với pixel hay đề cập thiết bị Khi ta quan sát hình chế độ đồ hoạ hình khơng liên tục mà gồm điểm nhỏ gọi pixel (thiết bị) Mỗi Pixel gồm cặp toạ độ (x,y) màu Cặp toạ độ x,y tạo nên độ phân giải(resolution) Như hình máy tính có nhiều loại với độ phân giải khác CGA(Colour Graphics Adaptor_Bộ thích ứng đồ họa màu) có độ phân giải 320x200; hình VGA(Video Graphics Array_mảng đồ họa video) 640x350,… Như , ảnh tập hợp điểm ảnh Khi số hố, thường biểu diễn hai chiều I(n,p) : n dịng p cột Ta nói ảnh gồm n x p pixels Người ta thường kí hiệu I(x,y) để pixel Thường giá trị n chọn p 256 Một pixel lưu trữ 1,4,8 hay 24 bit  Gray level Mức xám kết mã hoá tương ứng cường độ sáng điểm ảnh với giá trị số- kết trình lượng hoá Các mã hoá kinh điển thường dùng 16, 32 hay 64 mức Mã hoá 256 mức phổ dụng lý kỹ thuật Vì 28 =256 (0, 1,2,3, …, 255) nên với 256 mức , pixel mã hoá bit 1.1.2 Các thành phần hệ thống xử lý ảnh  Thiết bị thu nhận ảnh: Là thiết bị biến đổi quang-điện, cho phép biến đổi hình ảnh quang học tín hiệu điện dạng analog hay trực tiếp dạng số Có nhiều dạng cảm biến cho phép làm việc với ánh sáng nhìn thấy hồng ngoại Hai loại thiết bị biến đổi quang-điện chủ yếu thường sử dụng đèn ghi hình điện tử chip CCD (Charge Couple Device –linh kiện ghép điện tích)  Ống cidicon đại diện tiêu biểu cho họ đèn ghi hình điện tử sử dụng tương đối rộng rãi camera màu đen trắng Ống vidicon có kích thước nhỏ gọn ( đường kính 18-25 mm, chiều dài 10-12cm), nhẹ, cấu tạo đơn giản, dễ sử dụng Đèn hình sử dụng nguyên lý hiệu ứng quang điện nguyên lý tích lũy điện tích GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị  Chip CCD linh kiện bán dẫn có khả biến đổi lượng quang phổ thành tín hiệu điện Thành phần chip CCD tụ điện MOS (MetalOxide-Semiconductor).Tụ điện MOS hình thành ba lớp : má tụ kim loại, chất điện môi nằm lớp SiO2 má tụ lớp bán dẫn loại p n  Bộ nhớ ngoài: Trong hệ thống xử lý ảnh số thường có dung lượng lớn dùng để lưu trữ ảnh tĩnh động dạng số  Bộ xử lý ảnh chuyên dụng: Xử dụng chip xử lý ảnh chuyên dụng, có khả thực nhanh lệnh chuyên dùng xử lý ảnh Cho phép thực trình xử lý ảnh lọc, làm đường bao, nén giải nén video số v.v Trong xử lý ảnh thường tích hợp nhớ đệm có tốc độ cao  Màn hình hiển thị: Hệ thống biến đổi điện - quang hay đèn hình (đen trắng màu) có nhiệm vụ biến đổi tín hiệu điện có chứa thơng tin ảnh (tín hiệu video) thành hình ảnh hình Có hai dạng display sử dụng rộng rãi đèn hình CRT (Cathode-Ray Tube) hình tinh thể lỏng LCD (Liquid Crystal Display) Đèn hình CRT thường có khả hiển thị màu sắc tốt hình LCD nên dùng phổ biến hệ thống xử lý ảnh chuyên nghiệp  Máy tính: Có thể máy tính để bàn siêu máy tính có chức điều khiển tất phận chức hệ thống xử lý ảnh số 1.2 BIỂU DIỄN TÍN HIỆU HÌNH ẢNH TRONG KHƠNG GIAN VÀ THỜI GIAN 1.2.1 Hình ảnh tương tự Như đề cập tới phần trên, hình ảnh có thẻ biểu diễn hàm chiều f(x,y) Giá trị hàm f điểm có tọa độ khơng gian (x,y) độ chói điểm ảnh (x,y) Đa số ảnh sử dụng sách ảnh đen - trắng, độ chói điểm ảnh nằm phạm vi định từ Lmin tói Lmax Nếu ảnh tạo trình vật lý giá trị điểm ảnh tỷ lệ thuận với lượng nguồn xạ, ví dụ lượng sóng điện từ, hàm f(x,ykhác khơng hữu hạn < f(x,y) < ∞ Hàm f(x,y) đặc trưng hai thành phần lượng ánh sáng rọi lên cảnh vật số lượng ánh sáng phản xạ lại từ cảnh vật : GVHD : ThS Hồng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 10 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 78 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị Kết mô cho ma trận đánh nhãn B 4.3.2.2 Các trạng thái trình cập nhật ảnh: Do trình cập nhật ảnh trình quét ảnh đánh nhãn xãy đồng thời nên ta xét đến trạng thái tương tự  Reset = ‘1’ ta tiến hành xóa chuỗi a1,a2,a3 để tránh giá trị rác đọc không mong muốn  Trạng thái S0 : Khơng làm  Trạng thái S1 : Khơng làm GVHD : ThS Hồng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 79 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị  Trạng thái S2 : Lúc Process quét ảnh đánh nhãn cho ma trận B có liệu đọc từ left qua tín hiệu data_out1 Vì lúc ta cho đọc chuỗi a1,a2,a3 vị left  Trạng thái S3 : Có a (left) lúc process cho ma trận B có giá trị up nên ta xét điều kiện ghi cho a (left) đồng thời cho đọc a (up) để chuẩn bị cho S4  Trạng thái S4 : Có a(up) giá trị left từ process cho ma trận B ta tiến hành ghi cho a(up) Kết mô sau :  Tương ứng với mức reset = ‘1’ ta cho biến đếm (count) đếm địa từ đến 21 đồng thời xóa địa tương ứng với giá trị đếm chuỗi a1,a2,a3 cách cho phép ghi giá trị “00000000” (data_in_a1,data_in_a2,data_in_a3) vào địa tương ứng với biến đếm count Như hình ta thấy wen_a1 = 1, wen _a2 = 1, wen_a3 = data_in_a1 = 0, data_in_a2, data_in_a3 = Mục đích việc làm tránh giá trị rác đọc liệu từ a1,a2,a3 GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 80 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị  Xét thời điểm khác : - - - Ta thấy trạng thái S2 data_out1 = Tức giá trị giá trị đọc từ left phần tử xét Vì ta cho a1, a2, a3 đọc vị trí trạng thái S2 ( add_read_a1 = , ren_a1 = ‘1’ Qua trạng thái S3, có a1(left), a2(left),a3(left) đồng thời có giá trị up nên ta tiến hành ghi cho left Ở S3 ta thấy thỏa điều kiện ghi cho a1 nên a1(left) vị trí left(4) ghi giá trị up(3) - Ở S3 ghi cho left ta đồng thời đọc a1(up), a2(up) , a3(up) Tức cho add_read_a1 = 3, ren-a1 = ‘1’ - Qua trạng thái S4, có a1(up), a2(up), a3(up) đọc ta ghi cho up - Ở S4 ta thấy thỏa điều kiện ghi cho a1 nên a1(up) vị trí up(3) ghi với giá trị left(3) - Ở S3 S4 ta thấy data_out_a1 = ghi cho a1  Xét thời điểm khác GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 81 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị Giải thích trường hợp ta kết Tại vị trí ta thấy data_out_a1 = nên không thỏa điều kiện ghi cho a1, xét data_out_a1 = với giá trị left-temp lúc nên không thỏa điều kiện ghi cho a2 , wen_a2 = ‘0’; tất nhiên không thỏa điều kiện ghi cho a3 Các kết khác thời điểm khác mà ta thu giống kết matlab GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 82 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị - Tại vị trí hình ta thấy , thời điểm S3 data_out_a1 = ( khác 0) nên không thỏa điều kiện ghi cho a1 Ta xét xem có thỏa điều kiện ghi cho a2 khơng ? Lúc data_out_a2=7(khác 0) nên không thỏa điều kiện ghi cho a2, data_out_a3 = thỏa điều kiện ghi cho a3(left (6)) = up(2) - Tại thời điểm S4 data_out1=5 (khác 0) khác với up_temp (= 2), data_out_a2=0 nên thỏa điều kiện ghi cho a2(up(=2))= left(=6) GVHD : ThS Hoàng Mạnh Hà SV thực : Lữ Thị Kim Quy_910638D Trang 83 Luận Văn Tốt Nghiệp TKTB Nhận Dạng Kí Tự Hỗ Trợ Việc Đọc Cho Người Khiếm Thị  Tại thời điểm khác  Kết mô nhận tương ứng với giá tri thu matlab Do liệu a1,a2,a3 ta sử dụng thời điểm sau ta cần lưu trữ Ram Ở đoạn code cần khai báo kết nối vào Ram 4.3.2.3 Các trạng thái trình phân vùng, cập nhật lại nhãn có liên kết vùng Bên giải thuật matlab trình xảy sau ta có ma trận nhãn B tức kết thúc trình quét cập nhật ảnh Ở ta dùng điều kiện khống chế quét hết i hàng j cột S4 để bắt đầu thực trình  Trạng thái S4 : Xét điều kiện để bắt đầu thực trình when S4 => If i = and j = 13 then state

Ngày đăng: 30/10/2022, 10:28

Mục lục

    CÁC TỪ VIẾT TẮT

    CHƯƠNG 1 : TÌM HIỂU VỀ XỬ LÝ NHẬN DẠNG ẢNH PHÂN ĐOẠN ẢNH

    1.1 SƠ LƯỢC VỀ KỸ THUẬT XỬ LÝ VÀ NHẬN DẠNG VÀ PHÂN ĐOẠN ẢNH

    1.2 BIỂU DIỄN TÍN HIỆU HÌNH ẢNH TRONG KHÔNG GIAN VÀTHỜI GIAN

    1.3 TỔNG QUAN VỀ NHẬN DẠNG

    1.4 RESIZE (THAY ĐỔI KÍCH THƯỚC ) ẢNH VÀ CÁC VẤN ĐỀ LIÊNQUAN

    1.5 PHÂN VÙNG ( PHÂN ĐOẠN) ẢNH :

    CHƯƠNG 2 : TỔNG QUAN VỀ MẠNG NƠRON

    2.1 KHÁI NIỆM VỀ MẠNG NƠ-RON ( NEURAL ) :

    2.2 XÂY DỰNG MẠNG NORON

Tài liệu cùng người dùng

  • Đang cập nhật ...