tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

30 40 0
tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

HỌC VIỆN HÀNG KHÔNG VIỆT NAM KHOA ĐIỆN TỬ VIỄN THÔNG HÀNG KHÔNG ĐIỆN TỬ SỐ Giảng viên: Thầy Nguyễn Minh Tùng Đề tài tiểu luận: TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH Họ tên: Phạm Văn Thắng Mã số sinh viên: 1953020040 Lớp: 19ĐHĐT01 Tên học phần: Điện Tử Số Mã học phần: 011100010102 THÀNH PHỐ HỒ CHÍ MINH, NĂM 2021 HỌC VIỆN HÀNG KHÔNG VIẸT NAM KHOA ĐIỆN TỬ VIỄN THÔNG HK CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập - Tự - Hạnh phúc NHẬN XÉT CỦA GIÁO VIÊN HƯỚNG DẪN Phần đánh giá:  Ý thức thực hiện:  Nội dụng thực hiện:  Hình thức trình bày:  Tổng hợp kết quả: Điểm số: Điểm chữ: (Quy định thang điểm lấy điểm trịn theo quy định trường) Tp Hồ Chí Minh, ngày tháng năm 2021 GIÁO VIÊN HƯỚNG DẪN (Ký ghi rõ họ tên) LỜI NÓI ĐẦU Từ thời xa xưa đến nay, người giao tiếp với chủ yếu qua ngơn ngữ nói ngơn ngữ hình thể Những họ giao tiếp, thu nhận truyền đạt cho gọi thông tin Những thông tin dạng âm thanh, hình ảnh, ký hiệu,…Ngày với phát triển mạnh mẽ vượt bậc công nghệ, thông tin mà truyền đạt thu nhận khơng cịn bị giới hạn không gian thời gian Những thơng tin truyền xa lưu giữ nhờ vào thiết bị điện tử Những thông tin truyền dẫn qua thiết bị điện tử dạng tín hiệu điện áp dịng điện Các tín hiệu phân làm loại: tín hiệu liên tục tín hiệu gián đoạn Tín hiệu liên tục tín hiệu có thay đổi giá trị đại lượng tham chiếu theo thời gian Tín hiệu gián đoạn với đặc trưng tín hiệu số bao gồm mức điện áp: cao (hoạt động) thấp (không hoạt động) Với phát triển thời đại kỹ thuật số, hầu hết board mạch điện tử sử dụng IC số điều khiển mức điện áp logic quy định, nhằm giúp tối ưu hóa bố cục hóa lệnh điều khiển Một người kỹ sư điện tử cần nắm vững tính năng, đặc điểm cách thức hoạt động họ IC số để giúp củng cố kiến thức nâng cao tay nghề lĩnh vực thiết kế, sửa chữa điện tử MỤC LỤC CHƯƠNG 1: CẤU TẠO MẠCH ĐIỆN CỔNG LOGIC 1.1 Tìm hiểu mạch điện cổng logic 1.1.1 Các họ IC cổng logic 1.1.2 Các loại vi mạch .2 1.2 Mạch logic tổ hợp TTL 1.2.1 Các chủng loại IC họ TTL 1.2.2 Đặc điểm thông số chủng IC họ TTL .3 1.3 Cấu tạo mạch điện cổng NAND TTL .6 1.3.1 Sơ đồ nguyên lý 1.3.2 Nguyên lý hoạt động 1.4 Cấu tạo mạch điện cổng logic có cực thu để hở (open collector) 1.4.1 Sơ đồ nguyên lý 1.4.2 Nguyên lý hoạt động 1.4.3 Ưu điểm – Khuyết điểm mạch 1.5 Cấu tạo mạch điện cổng logic có ngõ ba trạng thái 1.5.1 Sơ đồ nguyên lý 1.5.2 Nguyên lý hoạt động 1.6 Tìm hiểu khả tỏa (Fan out) 1.6.1 Khái niệm “Fan out” 1.6.2 Ví dụ minh họa CHƯƠNG 2: THIẾT KẾ MẠCH CÂN HÀNH LÝ 10 2.1 Yêu cầu đề bài: .10 2.2 Hướng giải toán 10 2.3 Sơ đồ khối mạch thiết kế 11 2.4 Chức khối thiết kế 12 2.4.1 Khối nguồn cấp 5V 12 2.4.2 Khối tạo xung vuông .13 2.4.3 Khối xử lý mạch cân hành lý 14 2.4.4 Khối mạch đếm tuần hoàn từ đến 30 15 2.4.5 Khối xử lý – giải mã hiển thị led đoạn 16 2.4.6 Khối giả định cân hành lý 18 2.4.7 Khối hiển thị số Kg đèn báo hiệu .18 2.5 Những thông tin quan trọng 19 CHƯƠNG 3: MÔ PHỎNG MẠCH TRÊN PROTEUS 20 3.1 Khi chưa cân hành lý (khi nhả nút nhấn ra) 20 3.2 Khi cân hành lý (khi nhấn giữ nút nhấn) 20 CHƯƠNG 4: KẾT LUẬN 23 CHƯƠNG 1: CẤU TẠO MẠCH ĐIỆN CỔNG LOGIC 1.1 Tìm hiểu mạch điện cổng logic 1.1.1 Các họ IC cổng logic Hầu hết toàn vi mạch kỹ thuật số chế tạo linh kiện lưỡng cực linh kiện hiệu ứng trường Các họ cổng logic khác có tên gọi ký hiệu khác Các họ cổng logic xây dựng dựa việc tích hợp linh kiện lưỡng cực bao gồm:  Diode logic (DL)  Resistor transistor logic (RTL)  Diode transistor logic (DTL)  Transistor transistor logic (TTL)  Emitter coupled logic (ECL)  Integrated injection logic (I2L) Các họ cổng logic xây dựng dựa việc tích hợp linh kiện hiệu ứng trường bao gồm:  PMOS (sử dụng mosfet kênh P)  NMOS (sử dụng mosfet kênh N)  CMOS (sử dụng loại mosfet kênh N kênh P) Một họ cổng logic xây dựng dựa việc tích hợp loại linh kiện lương cực hiệu ứng trường là: Bi - MOS Ba họ logic DL, RTL DTL họ cổng logic chế tạo có tầm quan trọng lịch sử phát triển điện tử số Tuy nhiên cổng logic dần trở nên lỗi thời sử dụng có xuất họ cổng logic TTL Họ cổng PMOS I 2L chủ yếu sử dụng mạch tích hợp quy mơ lớn Ngày nay, họ cổng logic sử dụng rộng rãi phổ biến là: TTL, CMOS, ECL, NMOS Bi – MOS Trong đó, họ cổng đứng đầu khả ứng dụng cao TTL CMOS 1.1.2 Các loại vi mạch Vi mạch với tên gọi thông dụng chip hay IC (Integrated Circuit) mạch tích hợp linh kiện bán dẫn hay thụ động khối thiết kế định để thực chức định Vi mạch phân loại dựa mật độ tích hợp vi mạch đó, bao gồm:  Small – scale Integration (SSI): có mật độ tích hợp nhỏ với IC 12 cổng logic  Medium - scale Integration (MSI): có mật độ tích hợp lớn với IC từ 12 đến 99 cổng  Large - scale Integration (LSI): có mật độ tích hợp lớn MSI  Very large - scale Integration (VLSI): có mật độ tích hợp đạt 10.000 cổng  Ultra large – scale Integration (ULSI): với 100.000 cổng IC  Giga – scale Integration (GSI): có mật độ tích hợp triệu cổng  Xã hội ngày phát triển kéo theo cơng nghệ tích hợp IC phát triển với tốc độ nhanh Bằng chứng việc IC chế tạo với kích thước nhỏ có mật độ tích hợp vơ lớn 1.2 Mạch logic tổ hợp TTL 1.2.1 Các chủng loại IC họ TTL Các chủng loại IC họ TTL chế tạo từ việc tích hợp transistor lưỡng cực (BJT) Các chủng loại IC họ TTL phân biệt dựa vào ký tự đầu ký hiệu Có dạng ký hiệu là: 74xxx 54xxx Đối với loại 74xxx hoạt động dải nhiệt độ từ  700C, sử dụng rộng rãi phổ biến Đối với loại 54xx có dải nhiệt độ hoạt động rộng từ -55  1250C, ứng dụng môi trường đặc biệt chẳng hạn quân đội Các chủng loại IC họ TTL bao gồm:  Standard TTL: dạng IC họ TTL chuẩn Ký hiệu: 74 54  Low power TTL: dạng IC họ TTL công suất thấp Ký hiệu: 74L 54L  High power TTL: dạng IC họ TTL công suất cao Ký hiệu: 74H 54H  Schottky TTL: dạng IC họ Schottky TTL có ưu điểm giảm thời gian trễ mạch cách ngưng không cho transistor cấu tạo rơi vào trạng thái bão hòa sâu Ký hiệu: 74S 54S  Low power schottky TTL: dạng IC họ Schottky TTL có cơng suất thấp Ký hiệu: 74LS 54LS  Advanced Schottky TTL: dạng IC họ Schottky TTL cải tiến công suất tiêu tán lẫn tốc độ chuyển mạch Ký hiệu: 74AS 54AS  Advanced low power Schottky TTL: : dạng IC họ Schottky TTL cải tiến có cơng suất thấp Ký hiệu: 74ALS 54ALS  Fast TTL: dạng IC họ TTL nhanh dùng công nghệ tích hợp Ký hiệu: 74F 54F 1.2.2 Đặc điểm thông số chủng IC họ TTL  Đặc điểm  Các IC họ TTL cho phép cấp nguồn 5V, dao động từ 4.5V  5.5V  Các mức điện áp vào – quy định trạng thái logic cho mạch thể hình 1.1: Hình 1.1: Các mức điện áp vào họ TTL Đối với đầu vào: điện áp từ  0.8V quy định mức logic điện áp từ  5V quy định mức logic Đối với đầu ra: điện áp từ  0.4V quy định mức logic điện áp từ 2.4  5V quy định mức logic Các mức điện áp nằm khoảng khoảng điện áp treo, không xác định mức logic  Các IC thuộc họ TTL kết nối mạch ngõ vào không kết nối tín hiệu mặc định ngõ vào có mức logic Đây coi ngõ vào thả  Thơng số  Dịng điện điện áp: Gồm mức điện áp dòng điện ký hiệu sau: VIH(min): mức điện áp đầu vào tối thiểu để đạt mức logic VIL(max): mức điện áp đầu vào tối đa để đạt mức logic VOH(min): mức điện áp đầu tối thiểu để đạt mức logic VOL(max): mức điện áp đầu tối đa để đạt mức logic IIH: dòng điện ngõ vào mức logic IIL: dòng điện ngõ vào mức logic IOH: dòng điện ngõ mức logic IOL: dòng điện ngõ mức logic  Công suất tiêu thụ: Lượng công suất tiêu thụ IC tính dịng điện I CC lấy từ nguồn VCC Ta có cơng thức xác định công suất tiêu thụ sau: P = ICC x VCC  Thời gian trì hỗn (delay): Bất kì tín hiệu logic qua mạch điện ln có tình trạng bị delay Hình 1.2: Thời gian trễ lan truyền Ta có: tpLH: thời gian delay chuyển trạng thái từ mức logic lên tpHL: thời gian delay chuyển trạng thái từ mức logic xuống  Lề nhiễu: Lề nhiễu hiểu nơm na mức điện áp không mong muốn xuất mạch, tác động từ điện từ trường phát sinh mạch bị tác động từ bên Giả định nút nhấn (button) mô Proteus hoạt động cân (a scale) với nguyên lý sau: - Khi có vật nặng đặt lên cân tương ứng với việc ta tác động lực đặt lên nút nhấn mạch thiết kế (nhấn giữ nút nhấn) - Khi vật nặng bỏ xuống khỏi cân tương ứng với việc nút nhấn bật (thả nút nhấn) Có hướng giải toán: - Hướng thứ 1: sử dụng vi điều khiển để lập trình hiển thị led đoạn đèn báo Hướng giải giúp tối ưu hóa linh kiện ta sử dụng khiến việc thiết kế, cài đặt mạch trở nên dễ dàng Tuy nhiên mơn học mơn điện tử số, tìm hiểu cấu tạo chức hoạt động linh kiện, cổng logic rời rạc cụ thể Do để thể độ hiểu sâu vận dụng cao học mơn học em định thực hướng thứ hai - Hướng thứ 2: Vận dụng cổng logic IC số để cài đặt mạch Cách tốn nhiều linh kiện hướng 1, nhiên đánh giá cách khách quan chi tiết cách vận hành mạch Cách giải tốn: - Vì yêu cầu thứ buộc ta phải hiển thị số ngẫu nhiên từ đến 30 nên điều cần làm thiết kế mạch đếm cho đếm lên từ đến 30 quay lại chu kỳ đếm - Vì yêu cầu hiển thị ngẫu nhiên nên ý tưởng ta chưa nhấn nút tức chưa có vật nặng đặt lên cân hiển thị lên led đoạn số 00 Lúc ta cho xung đếm chạy bình thường Tiếp theo, nhấn nút tức cân hành lý dừng xung cấp cho mạch đếm cách cho xung cấp không dao động (nối VCC), lúc mạch ngừng đếm ta giải mã hiển thị số đếm tương ứng led đoạn 11 - Yêu cầu thứ báo hiệu số cân lớn 15 Lúc cần sử dụng cổng so sánh IC so sánh để thực hiển thị báo hiệu việc làm sáng led 2.3 Sơ đồ khối mạch thiết kế Hình 2.1: Sơ đồ khối mạch giả định cân hành lý cho hành khách Dấu mũi tên (→) sơ đồ khối thể tác động khối đến khối Sơ đồ khối thể cách tường minh bao quát cách vận hành mạch Sơ đồ hình 2.1 sơ đồ khối theo logic, khơng tuân theo quy định khoa học cụ thể 2.4 Chức khối thiết kế 12 Hình 2.2: Tổng quan khối thiết kế proteus Mạch gồm khối bao gồm: khối nguồn cấp 5V, khối tạo xung vuông, khối xử lý mạch cân hành lý, khối mạch đếm tuần hoàn từ đến 30, khối xử lý – giải mã hiển thị led đoạn, khối giả định cân hành lý khối hiển thị số Kg đèn báo hiệu Cùng tìm hiểu chức khối: 2.4.1 Khối nguồn cấp 5V Hình 2.3: Sơ đồ nguyên lý khối nguồn cấp 5V Ở khối nguồn cấp 5V ta tiến hành hạ áp chỉnh lưu từ nguồn điện xoay chiều 220V có tần số f = 50 Hz  Đầu tiên, ta hạ áp từ 220VAC xuống cịn 9VAC thơng qua máy biến áp 13  Tiếp theo, điện áp 9V sau hạ áp cịn điện áp xoay chiều nên tiến hành chỉnh lưu dạng chiều thơng qua cầu diode  Tín hiệu điện áp sau chỉnh lưu ta cho qua tụ lọc C = 2200uF để sang phẳng điện áp thành chiều sau cho qua IC 7805 để ghim áp 5V Tụ C2 = 2200uF C3 = 100nF có tác dụng lọc nhiễu cho mạch lần để đảm bảo dạng sóng ghim áp điện áp chiều 5V 2.4.2 Khối tạo xung vng Hình 2.4: Sơ đồ ngun lý khối tạo xung vuông Ta sử dụng IC555 để tạo xung vng có D% = 50% f = 1KHz  Nguồn cấp cho IC555 nguồn 5V (VCC) tạo mạch nguồn  Diode D1 có tác dụng ngăn dịng điện nạp cho tụ C4 qua R2  Diode D2 có tác dụng dẫn dòng điện xả tụ C4 mass Gắn thêm D2 để tạo cân nhánh nạp xả cho tụ C4  tạo D% = 50%  Muốn tạo xung vng có tần số 1KHz thời gian nạp thời gian xả tụ điện C4 phải  Ta có cơng thức tính chu kỳ dạng sóng vng: 14 1 T = ln(2).(R1 + 2R2).C4 = f = 1000 = 0.001 (s) Chọn C4 = (uF) 0,001  R1 + 2R2 = ln ( ) 10 −6 ≈ 1443 (Ω) (1) Mà để tạo D% = 50% tức thời gian nạp xả tụ phải Ta thiết kế mạch sử dụng diode để nạp: dòng điện qua R1 D1 nạp vào tụ C4 xả: dòng điện xả qua R2 qua D2 mass Do giá trị R1 phải R2 (2) Từ (1) (2)  R1 = R2 ≈ 470 (Ω) Như vậy: để tạo dạng sóng vng chuẩn 5V, f = 1KHz D% = 50% ta cài đặt thông số sau: R1 = R2 = 470 (Ω) C4 = (uF) Tụ C5 tụ lọc nên chọn loại 104: C5 = 100 (nF) 2.4.3 Khối xử lý mạch cân hành lý Hình 2.5: Sơ đồ nguyên lý khối xử lý mạch cân hành lý 15  Ở khối ta tiến hành chọn xung CLK cấp cho “khối mạch đếm tuần hoàn từ đến 30” việc sử dụng Mux – IC chọn kênh 74LS153  Sử dụng thêm Flip-Flop JK để đếm xung lên đồng quy định kênh chọn cho chân A (chân 14) B (chân 2) IC 74LS153  Tín hiệu vào “THE SCALE” lấy từ “khối giả định cân hành lý” (sẽ nói sau) có mức logic Khi THE SCALE =  flip-flop trạng thái reset, lúc đầu Q flip flop cho mức  qua cổng AND cho mức Lúc dù xung kích “CLOCK” có tác đơng đầu Q flipflop mức Do đó, IC chọn kênh 74153 chọn kênh tức chọn xung CLK xung CLOCK Khi THE SCALE =  chân reset bị vơ hiệu flip-flop nhận xung kích CLOCK Khi đầu Q mức hết qua cổng AND cho mức Lúc cổng OR ln có mức làm flip-flop dừng đếm Do đó, IC chọn kênh chọn kênh tức cho đầu CLK = 2.4.4 Khối mạch đếm tuần hồn từ đến 30 Hình 2.6: Sơ đồ nguyên lý khối mạch đếm tuần hoàn từ đến 30 16 Vì mạch đếm đến 30 nên cần tối thiểu Flip-Flop JK mắc theo kiểu mạch đếm lên khơng đồng Ở ta có điều cần giải quyết: thứ để mạch đếm 5? Thứ để mạch đếm tới 30 quay 5?  Ở vấn đề thứ nhất: Dựa vào hình 2.6, từ trái qua phải ta gọi tên Fip-Flop từ Flip-Flop đến Flip-Flop 4, chân Flip-Flop tương ứng với số thứ tự Để mạch đếm buộc Q = Q2 = Q1 = Q3 = Q4 = Để thực điều ta mắc R3 C6 hình Ngay thời điểm vừa khởi động mạch, tụ C dẫn điện mass hết nên điểm lấy giữu R C6 có mức Mức cấp tương ứng vào chân SET Flip-Flop Flip-Flop Đồng thời, mức cấp vào chân RESET Flip-Flop 1,3 để mạch đếm Sau khởi động mạch thời gian ngắn tính chất tụ nạp đầy ngăn dòng qua nên điểm có mức logic cho Flip-Flop đếm lên bình thường  Ở vấn đề thứ hai: để mạch đếm từ 30 quay số trung gian chuyển đổi số 31 tức 31 tín hiệu để mạch đếm Khi mạch đếm tới 31 đầu Q Flip-Flop mức hết Ta có đầu Q vào cổng NAND đầu vào để tín hiệu lấy sau cổng NAND mức Lúc tín hiệu sau cổng NAND với tín hiệu lấy R C6 qua cổng AND để cấp cho chân SET: S 0, S2 chân RESET: S1, S3, S4 đếm Tín hiệu ta cần cấp cho chân SET RESET phía mức để đếm nên cho tín hiệu kích đếm qua cổng AND, cần tín hiệu có mức mạch đếm 2.4.5 Khối xử lý – giải mã hiển thị led đoạn 17 Hình 2.7: Sơ đồ nguyên lý khối xử lý – giải mã hiển thị led đoạn Một điều đặc biệt mã BCD lớn khơng cịn nữa, việc hiển thị Led đoạn bị sai Ta xét điều kiện sau:  Khi mạch đếm < 10 cộng bit đếm từ khối mạch đếm cho  Khi mạch đếm > < 20 cộng bit đếm cho  Khi mạch đếm > 19 < 30 cộng bit đếm cho 12 18  Khi mạch đếm = 30 cộng bit đếm cho 18 Sử dụng IC cộng bit 7483 để thực cộng Năm cổng OR đầu vào dùng để chọn lọc hệ số cộng tương ứng (0 hoặc 12 18) Nhằm phục vụ việc hiển thị led đoạn 00 cân chưa có vật tác động (chưa nhấn nút) ta sử dụng cổng AND để thực điều Dùng IC4511 để tiến hành giải mã mã BCD sang led đoạn Lực tác động xuống 2.4.6 Khối giả định cân hành lý Hình 2.8: Sơ đồ nguyên lý khối giả định cân hành lý Tín hiệu “THE SCALE” nói nói lại khối tạo từ “Khối giả định cân hành lý” Khi chưa nhấn nút xuất mức nhấn nút xuất mức 2.4.7 Khối hiển thị số Kg đèn báo hiệu 19 Hình 2.9: Sơ đồ nguyên lý khối hiển thị số Kg đèn báo hiệu  Led đoạn hiển thị giá trị tương ứng: 00 chưa nhấn nút ngẫu nhiên từ đến 30 nhấn nút  Xét điều kiện > 15 cổng logic hình 2.9 Điều đặc biệt khơng nối thẳng tín hiệu từ U42 led mà phải mắc trên? Trả lời: ta nhấn nút để dừng xung đếm, lúc xung cần khoảng thời gian để dần chậm lại phẳng mức nên số hiển thị bị dao động  Đèn báo nhấp nháy không ổn định Xử lý kỹ thuật cách mắc để nhấn nút mà mạch cịn dao động cho dù số có lớn 15 đèn khơng sáng Khi số đếm dừng hẳn tụ nạp cho đầu lấy R5 C7 mức  LED – YELLOW sáng 2.5 Những thông tin quan trọng Khi mắc mạch thực tế cần thêm trở hạn dòng tương ứng đầu led đoạn led báo Có thể mơ ta sử dụng nhiều cổng logic làm cho mạch trở nên rối ren cài đặt mạch thực tế sử dụng IC tích hợp cổng logic để thay  làm tiết kiệm diện tích mạch thiết kế Thực tế thay led báo còi báo hiệu âm cân nặng vượt 15 Kg ý tưởng hay tiện việc nhận biết 20 21 CHƯƠNG 3: MÔ PHỎNG MẠCH TRÊN PROTEUS 3.1 Khi chưa cân hành lý (khi nhả nút nhấn ra) Hình 3.1: Kết mô mạch chưa nhấn nút  Khi chưa nhấn nút led đoạn hiển thị số 00 mạch đếm bình thường Đèn báo khơng sáng chưa có cân nặng vượt q 15 Kg 3.2 Khi cân hành lý (khi nhấn giữ nút nhấn) Khi nhấn giữ nút nhấn lần 1: Hình 3.2: Kết mô mạch nhấn giữ nút nhấn lần 22  Ở hình 3.2, ta nhấn giữ nút xung đếm dừng mạch ngừng đếm  hiển thị số 12 giải thích số 12 lớn bé 20 nên tiến hành cộng cho mã BCD Số 12 < 15 nên đèn báo không sáng Khi thả nút nhấn ra: Hình 3.3: Kết mô mạch thả nút nhấn sau lần nhấn  Led đoạn hiển thị 00 Khi nhấn giữ nút nhấn lần 2: Hình 3.4: Kết mô mạch nhấn giữ nút nhấn lần  Mạch hiển thị số 23 đèn báo sáng lớn 15 Như giải thích số 23 lớn 19 bé 30 nên tiến hành cộng cho 12 mã BCD 23 Khi nhấn giữ nút nhấn lần 3: Hình 3.5: Kết mô mạch nhấn giữ nút nhấn lần  Mạch hiển thị số 05 đèn báo khơng sáng bé 15 Như giải thích số 05 bé nên tiến hành cộng cho mã BCD Khi nhấn nút lần thứ n: Hình 3.6: Kết mơ mạch nhấn giữ nút nhấn lần n  Mạch hiển thị số 30 đèn báo sáng lớn 15 Như giải thích mạch đếm tới 30 cộng cho 18 mã BCD 24 CHƯƠNG 4: KẾT LUẬN Số trang vượt yêu cầu tiểu luận để có tiểu luận hay, trình bày cách tường minh, rõ ràng mạch lạc việc tràn số trang khơng ảnh hưởng đến chất lượng Những em viết gói gọn phần trình bày hết mức chưa thỏa mãn thân em em muốn giải thích nhiều chi tiết Kết mô thỏa yêu cầu toán việc hiển thị số ngẫu nhiên từ đến 30 cân thể báo hiệu số cân vượt 15 Kg Có thể kết luận làm hướng giải vấn đề Có thể chưa phải cách hồn hảo khơn ngoan bản, mạch thiết kế với yêu cầu Môn điện tử số môn học rèn luyện cho em khả tư logic việc đặt vấn đề giải vấn đề cách khoa học - Cảm ơn thầy đọc nhận xét - 25 ... Để an toàn sử dụng mạch ta chọn giá trị Fan – out nhỏ 10 CHƯƠNG 2: THIẾT KẾ MẠCH CÂN HÀNH LÝ 2.1 Yêu cầu đề bài: Thiết kế mạch giả định cân hành lý làm thủ tục cho hành khách: Mạch hiển thị ngẫu... thị số ngẫu nhiên từ đến 30 cân thể báo hiệu số cân vượt 15 Kg Có thể kết luận làm hướng giải vấn đề Có thể chưa phải cách hồn hảo khơn ngoan bản, mạch thiết kế với yêu cầu Môn điện tử số môn học... CẤU TẠO MẠCH ĐIỆN CỔNG LOGIC 1.1 Tìm hiểu mạch điện cổng logic 1.1.1 Các họ IC cổng logic 1.1.2 Các loại vi mạch .2 1.2 Mạch logic tổ hợp TTL 1.2.1 Các chủng

Ngày đăng: 29/03/2022, 04:33

Hình ảnh liên quan

Hình 1.1: Các mức điện áp vào ra của họ TTL - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.1.

Các mức điện áp vào ra của họ TTL Xem tại trang 9 của tài liệu.
Hình 1.2: Thời gian trễ lan truyền Ta có: - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.2.

Thời gian trễ lan truyền Ta có: Xem tại trang 10 của tài liệu.
Hình 1.4: Sơ đồ nguyên lý cổng NAND họ TTL (bên trái) và sơ đồ mạch tương đương của transistor Q1 (bên phải) - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.4.

Sơ đồ nguyên lý cổng NAND họ TTL (bên trái) và sơ đồ mạch tương đương của transistor Q1 (bên phải) Xem tại trang 11 của tài liệu.
Hình 1.3: Lề nhiễu Ta có: VNL : lề nhiễu mức thấp  - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.3.

Lề nhiễu Ta có: VNL : lề nhiễu mức thấp Xem tại trang 11 của tài liệu.
 Bảng trạng thái hoạt động: - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Bảng tr.

ạng thái hoạt động: Xem tại trang 12 của tài liệu.
Hình 1.5: Sơ đồ nguyên lý mạch TTL hở cực thu - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.5.

Sơ đồ nguyên lý mạch TTL hở cực thu Xem tại trang 12 của tài liệu.
Hình 1.6: Sơ đồ kết nối các ngõ ra của mạch TTL hở cực thu - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.6.

Sơ đồ kết nối các ngõ ra của mạch TTL hở cực thu Xem tại trang 13 của tài liệu.
Hình 1.7: Sơ đồ mạch cổng đảo họ TTL có 3 trạng thái ra - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 1.7.

Sơ đồ mạch cổng đảo họ TTL có 3 trạng thái ra Xem tại trang 14 của tài liệu.
Bảng chân lý: - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Bảng ch.

ân lý: Xem tại trang 14 của tài liệu.
Hình 2.1: Sơ đồ khối mạch giả định cân hành lý cho hành khách - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.1.

Sơ đồ khối mạch giả định cân hành lý cho hành khách Xem tại trang 17 của tài liệu.
Hình 2.3: Sơ đồ nguyên lý khối nguồn cấp 5V - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.3.

Sơ đồ nguyên lý khối nguồn cấp 5V Xem tại trang 18 của tài liệu.
Hình 2.2: Tổng quan về các khối thiết kế trên proteus - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.2.

Tổng quan về các khối thiết kế trên proteus Xem tại trang 18 của tài liệu.
Hình 2.4: Sơ đồ nguyên lý khối tạo xung vuông - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.4.

Sơ đồ nguyên lý khối tạo xung vuông Xem tại trang 19 của tài liệu.
Hình 2.5: Sơ đồ nguyên lý khối xử lý mạch cân hành lý - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.5.

Sơ đồ nguyên lý khối xử lý mạch cân hành lý Xem tại trang 20 của tài liệu.
Hình 2.6: Sơ đồ nguyên lý khối mạch đếm tuần hoàn từ 5 đến 30 - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.6.

Sơ đồ nguyên lý khối mạch đếm tuần hoàn từ 5 đến 30 Xem tại trang 21 của tài liệu.
Hình 2.7: Sơ đồ nguyên lý khối xử lý – giải mã hiển thị led 7 đoạn Một điều đặc biệt là khi mã BCD lớn hơn 9 thì không còn đúng nữa, do  đó việc hiển thị Led 7 đoạn sẽ bị sai - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.7.

Sơ đồ nguyên lý khối xử lý – giải mã hiển thị led 7 đoạn Một điều đặc biệt là khi mã BCD lớn hơn 9 thì không còn đúng nữa, do đó việc hiển thị Led 7 đoạn sẽ bị sai Xem tại trang 23 của tài liệu.
Hình 2.8: Sơ đồ nguyên lý khối giả định cân hành lý - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.8.

Sơ đồ nguyên lý khối giả định cân hành lý Xem tại trang 24 của tài liệu.
Hình 2.9: Sơ đồ nguyên lý khối hiển thị số Kg và đèn báo hiệu - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 2.9.

Sơ đồ nguyên lý khối hiển thị số Kg và đèn báo hiệu Xem tại trang 25 của tài liệu.
Hình 3.1: Kết quả mô phỏng mạch khi chưa nhấn nút - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 3.1.

Kết quả mô phỏng mạch khi chưa nhấn nút Xem tại trang 27 của tài liệu.
Hình 3.2: Kết quả mô phỏng mạch khi nhấn giữ nút nhấn lần 1 - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 3.2.

Kết quả mô phỏng mạch khi nhấn giữ nút nhấn lần 1 Xem tại trang 27 của tài liệu.
 Ở hình 3.2, khi ta nhấn giữ nút xung đếm sẽ dừng và mạch ngừng đếm  - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

h.

ình 3.2, khi ta nhấn giữ nút xung đếm sẽ dừng và mạch ngừng đếm  Xem tại trang 28 của tài liệu.
Hình 3.3: Kết quả mô phỏng mạch khi thả nút nhấn sau lần nhấn 1 - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 3.3.

Kết quả mô phỏng mạch khi thả nút nhấn sau lần nhấn 1 Xem tại trang 28 của tài liệu.
Hình 3.5: Kết quả mô phỏng mạch khi nhấn giữ nút nhấn lần 3 - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 3.5.

Kết quả mô phỏng mạch khi nhấn giữ nút nhấn lần 3 Xem tại trang 29 của tài liệu.
Hình 3.6: Kết quả mô phỏng mạch khi nhấn giữ nút nhấn lần n - tiểu luận môn điện tử số đề tài TÌM HIỂU CÁC HỌ CỔNG LOGIC, THIẾT KẾ VÀ MÔ PHỎNG MẠCH GIẢ ĐỊNH CÂN HÀNH LÝ KHI LÀM THỦ TỤC CHO HÀNH KHÁCH

Hình 3.6.

Kết quả mô phỏng mạch khi nhấn giữ nút nhấn lần n Xem tại trang 29 của tài liệu.

Từ khóa liên quan

Mục lục

  • CHƯƠNG 1: CẤU TẠO MẠCH ĐIỆN CỔNG LOGIC

    • 1.1. Tìm hiểu về mạch điện cổng logic

      • 1.1.1. Các họ IC cổng logic

      • 1.1.2. Các loại vi mạch

      • 1.2. Mạch logic tổ hợp TTL

        • 1.2.1. Các chủng loại IC họ TTL

        • 1.2.2. Đặc điểm và thông số của các chủng IC họ TTL

        • 1.3. Cấu tạo mạch điện cổng NAND TTL

          • 1.3.1. Sơ đồ nguyên lý

          • 1.3.2. Nguyên lý hoạt động

          • 1.4. Cấu tạo mạch điện cổng logic có cực thu để hở (open collector)

            • 1.4.1. Sơ đồ nguyên lý

            • 1.4.2. Nguyên lý hoạt động

            • 1.4.3. Ưu điểm – Khuyết điểm của mạch

            • 1.5. Cấu tạo mạch điện cổng logic có ngõ ra ba trạng thái

              • 1.5.1. Sơ đồ nguyên lý

              • 1.5.2. Nguyên lý hoạt động

              • 1.6. Tìm hiểu về khả năng tỏa ra (Fan out)

                • 1.6.1. Khái niệm về “Fan out”

                • 1.6.2. Ví dụ minh họa

                • CHƯƠNG 2: THIẾT KẾ MẠCH CÂN HÀNH LÝ

                  • 2.1. Yêu cầu đề bài:

                  • 2.2. Hướng giải quyết bài toán

                  • 2.3. Sơ đồ khối của mạch thiết kế

                  • 2.4. Chức năng của từng khối thiết kế

                    • 2.4.1. Khối nguồn cấp 5V

                    • 2.4.2. Khối tạo xung vuông

                    • 2.4.3. Khối xử lý mạch cân hành lý

                    • 2.4.4. Khối mạch đếm tuần hoàn từ 5 đến 30

Tài liệu cùng người dùng

Tài liệu liên quan