Tài Liệu Hướng Dẫn THỰC HÀNH THIẾT KẾ VI MẠCH

75 154 0
Tài Liệu Hướng Dẫn THỰC HÀNH THIẾT KẾ VI MẠCH

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

TRƯỜNG ĐẠI HỌC ĐÀ LẠT NGÀNH ĐIỆN TỬ - VIỄN THÔNG  - Lê Văn Tùng Tài Liệu Hướng Dẫn THỰC HÀNH THIẾT KẾ VI MẠCH Đà Lạt, 2011 THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I Bài GIỚI THIỆU 1.1 Mục đích Bài thực hành thiết kế nhằm trang bị cho sinh viên kiến thức cần thiết để làm việc với Board FPGA Xilinx Spartan3 Do thời gian thực tập không nhiều, tài liệu cung cấp thông tin lập trình FPGA ngơn ngữ VHDL hỗ trợ phần mềm ISE Design Suite, phiên 12.1 Đây gói phần mềm chuyên dụng hãng Xilinx Để nắm vững, đầy đủ tính ISE Design Suite thời gian nghiên cứu ngồi thực tập cần thiết Để làm việc với Board Xilinx Spartan 3, phần mềm cần có ISE Xilinx Design Suite, Xilinx PlanAhead Adept Trong đó, Adept phần mềm cung cấp Digilent để nạp chương trình vào FPGA Tất phần mềm giấy phép sử dụng (license) tải trực tiếp từ trang chủ nhà sản xuất 1.2 Quy trình thực tập Trong 1, quy trình làm việc trình bày chi tiết Từ thứ trở đi, bước khơng nhắc lại Ví dụ sử dụng để minh họa bước thực tập bật tắt đèn LED công tắc SW *Chú ý: “Báo cáo Thực tập” viết bao gồm ý sau: • Quy trình thực tập • Kết phần • Nhận xét & Kết luận -1- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I 1.2.1 Thiết kế -2- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I -3- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I Trước tiên, Board S3 cần cắm nguồn kết nối với máy tính thơng qua cổng USB Nếu máy tính khơng nhận thiết bị, người dùng cần phải tải Driver cài đặt Khởi động chương trình chính: Project Navigator Chương trình nằm Program List hình dạng Shortcut • Tạo thiết kế cách chọn: File -4- New Project… THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I Chương trình cửa sổ hỗ trợ, đặt tên chọn vị trí lưu cho chương trình Chú ý loại ngơn ngữ cấp cao HDL -5- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I Sau nhấn Next, cửa sổ cho phép lựa chọn thơng số thuộc tính chương trình thực hiện: • Họ thiết bị: Spartan • Thiết bị: XC3S200 • Gói: FT256 (FPGA có 256 chân) • Tốc độ: -4 (tốc độ thơng thường) • Cơng cụ mơ phỏng: ISim (ModelSim XE khơng hỗ trợ Xilinx) • Ngơn ngữ : VHDL Nhấn Next để sang cửa sổ tóm tắt; tiếp tục nhấn Finish để kết thúc -6- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I Cửa sổ làm việc ISE Project Navigator khởi động • Chọn Project New Source… • Chọn VHDL Module cửa sổ đặt tên cho tập tin -7- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I • Định nghĩa thơng số cần thiết cho thiết kế Ví dụ: Đầu vào cơng tắc, SW xem đường bus có bit Đầu đèn LED, tương tự Nhấn Next để sang cửa sổ tóm tắt, tiếp tục nhấn Finish để hoàn tất -8- THỰC TẬP THIẾT KẾ VI MẠCH PHẦN I • Giao diện chương trình Trong ví dụ đầu tiên, thiết kế đơn giản bật tắt đèn LED SW Chương trình sau: library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Lab1 is Port ( SW : in STD_LOGIC_VECTOR (7 downto 0); LED : out STD_LOGIC_VECTOR (7 downto 0)); end Lab1; architecture Behavioral of Lab1 is begin LED

Ngày đăng: 19/03/2020, 18:33