ĐIỆN tử VIỄN THÔNG 14a comp intro khotailieu

3 37 0
ĐIỆN tử VIỄN THÔNG 14a comp intro khotailieu

Đang tải... (xem toàn văn)

Thông tin tài liệu

Comparator Lab Introduction Objectives After completing this lab, you will be able to: • • • • Use the VHDL assert statement Use a basic VHDL if/else construct Create behavioral and RTL versions of a given module Verify the logic functionality Comparator Lab Intro - 14a - © 2007 Xilinx, Inc All Rights Reserved General Flow • • • Step 1: Create a behavioral version of Comparator Step 2: Create an RTL version of Comparator Step 3: Create a testbench and verify functionality Comparator Lab Intro - 14a - © 2007 Xilinx, Inc All Rights Reserved

Ngày đăng: 12/11/2019, 13:24

Tài liệu cùng người dùng

Tài liệu liên quan