1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

ĐIỆN tử VIỄN THÔNG 12a counter intro khotailieu

3 37 0

Đang tải... (xem toàn văn)

THÔNG TIN TÀI LIỆU

Cấu trúc

  • n-Bit Binary Counter and RTL Verification Lab

  • Objectives

  • General Flow

Nội dung

n-Bit Binary Counter and RTL Verification Lab Introduction Objectives After completing this lab, you will be able to: • • • Create, simulate, and verify RTL code for an n-bit binary counter Use the language templates in the ISE™ software Use VHDL generics n-Bit Binary Counter and RTL Verification Lab Intro - 12a - © 2007 Xilinx, Inc All Rights Reserved General Flow • • Step 1: Create an n-bit counter with generics Step 2: Create a testbench and verify functionality n-Bit Binary Counter and RTL Verification Lab Intro - 12a - © 2007 Xilinx, Inc All Rights Reserved

Ngày đăng: 12/11/2019, 13:24

w