Thực hành thiết kế mạch số với hdl

84 1.3K 13
Thực hành thiết kế mạch số với hdl

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Bộ tài liệu thực hành thiết kết mạch số với HDL được soạn thảo nhằm mục đích hỗ trợ các bạn sinh viên trong việc tiếp xúc với ngôn ngữ đặc tả phần cứng.

ĐẠI HỌC QUỐC GIA THÀNH PHỐ HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA KHOA KHOA HỌC VÀ KỸ THUẬT MÁY TÍNH ------oOo----- THỰC HÀNH THIẾT KẾ MẠCH SỐ VỚI HDL TP.HCM, 9-2009 Giới thiệu Bộ tài liệu thực hành thiết kết mạch số với HDL được soạn thảo nhằm mục đích hỗ trợ các bạn sinh viên trong việc tiếp xúc với ngôn ngữ đặc tả phần cứng. Mà cụ thể là hỗ trợ cho môn học thiết kết mạch số với HDL. Tài liệu này bao gồm 9 bài, tương ứng với 9 buổi. Nội dung chu yếu hướng đến việc học tư duy thiết kết phần cứng. Giúp sinh viên luyện tập các kỹ năng lập trình với Verilog, mô phỏng trên Model Sim hay trực tiếp trên board DE2. Mặc dù rất cố gắng để nhưng cũng không thể tránh khỏi những sai sót. Vì vậy rất mong nhận được ý kiến đóng góp từ phía bạn đọc hoặc các bạn sinh viên. Chân thành cảm ơn! TP.HCM, ngày 27 tháng 9 năm 2009 Mục lục WX Buổi 1. Tổng quan về các phần mềm thiết kế trên FPGA . 7 I. Giới thiệu Board DE2 của Altera . 7 1. Giới thiệu 7 2. Thành phần . 7 3. Một vài ứng dụng của board DE2 8 II. Cách cài đặt Quartus II 8.0 và Nios II . 9 1. Giới thiệu 9 2. Cài đặt . 10 III. Cách nạp chương trình cho Quartus II 8.0: 13 1. Tạo 1 project: 13 2. Viết chương trình và biên dịch: 17 3. Tạo file mô phỏng (simulate) và bắt đầu simulate . 22 4. Cấu hình chân và nạp lên board 30 Buổi 2. Mạch tổ hợp và mạch tuần tự 40 I. Giới thiệu . 40 1. Giới thiệu 40 2. Hướng dẫn thiết kế FPGA thông qua đồ khối/Schematic 40 II. Bài tập 46 Buổi 3. Lập trình Verilog với mô hình cấu trúc . 48 I. Giới thiệu ModelSim . 48 1. Giới thiệu 48 2. Cài đặt . 48 3. Hướng dẫn 58 II. Bài tập 65 Buổi 4. Mô hình hành vi 67 I. Bài tập 67 Buổi 5. Mô hình hành vi (tt) 71 I. Bài tập 71 Buổi 6. Máy trạng thái 75 I. Giới thiệu . 75 1. Giới thiệu 75 2. Hướng dẫn 75 II. Bài tập 83  Mục lục hình WX Hình 1.Board DE2 . 7 Hình 2. TV Box 8 Hình 3. Chương trình vẽ (paintbrush) 9 Hình 4. Máy hát Karaoke và máy chơi nhạc từ card SD . 9 Hình 5. Thông báo chưa cài dirver 10 Hình 6. Chọn cách thức cài đặt driver . 11 Hình 7. Chọn thư mục tìm kiếm driver 11 Hình 8. Chọn thư mục chứa dirver 12 Hình 9. Thông báo lỗi 12 Hình 10. Thông báo cài đặt hoàn tất 13 Hình 11. Tạo mới một project 14 Hình 12. Hộp hội thoại tạo mới project . 15 Hình 13. Hộp thoại lựa chọn chip 16 Hình 14. Hộp thoại tổng hợp các thông tin của project . 17 Hình 15. Tạo mới file . 18 Hình 16. Lựa chọn loại file cần tạo 19 Hình 17. Cửa sổ viết code 19 Hình 18. Cửa sổ lập trình . 20 Hình 19. Hộp thoại lưu file 20 Hình 20. Lựa chọn lop-level cho file . 21 Hình 21. Biên dịch chương trình . 21 Hình 22. Thông báo việc biên dịch thành công . 22 Hình 23. Tạo mới file testbench . 23 Hình 24. Chọn tín hiệu sẽ được test . 23 Hình 25. Hộp thoại lựa chọn tín hiệu . 24 Hình 26. Cửa sổ lựa chọn tín hiệu . 24 Hình 27. Xác nhận lại việc lựa chọn tín hiệu . 25 Hình 28. Thiết lập giá trị cho các tín hiệu 25 Hình 29. Cửa sổ Save As . 26 Hình 30. Lựa chọn chế độ simulate . 26 Hình 31. Cửa sổ thiết lập các thông số của quá trình simulate 27 Hình 32. Thực hiện chức năng tổng hợp và phân tích chương trình . 27 Hình 33. Thông báo thành công . 28 Hình 34. Thiết lập file testbench cho chương trình . 28 Hình 35. Lựa chọn đường dẫn lưu trữ file testbench . 28 Hình 36. Chỉ định vị trí lưu trữ file testbench 29 Hình 37. Tiến hành quá trình simulation . 29 Hình 38. Thông báo simulate thành công 30 Hình 39. Kết quả quá trình mô phỏng (simulation) . 30 Hình 40. Cửa sổ gán chân 31 Hình 41. Các tín hiệu cần gán chân . 31 Hình 42. Danh sách các chân có thể được gán 32 Hình 43. Quá trình gán chân hoàn tất 32 Hình 44. Lưu lại file cấu hình chân . 33  Hình 45. Hộp thoại load file cấu hình chân . 33 Hình 46. Cửa sổ lập trình (nạp) . 34 Hình 47. Cửa sổ Hardware Setup 35 Hình 48. Cửa sổ lập trình . 35 Hình 49. Cửa sổ thiết bị . 36 Hình 50. Cứa sổ Device & Pin Options . 37 Hình 51. Thông báo thay đổi chế độ lập trình . 37 Hình 52. Cửa sổ lập trình trong chế độ AS 38 Hình 53. Lựa chọn file cấu hình 38 Hình 54. Quá trình lập trình hoàn tất . 39 Hình 55. Cửa sổ lựa chọn loại file . 41 Hình 56. Cửa sổ làm việc của Quartus 42 Hình 57. Hộp thoại lựa chọn linh kiện có trong thư viện 43 Hình 58. Đặc linh kiện lên cửa sổ làm việc . 44 Hình 59. Cửa sổ gán chân cho tín hiệu xuất nhập . 45 Hình 60. Hoàn tất việc vẽ mạch . 45 Hình 61. Lựa chọn file cấu hình cho project . 46 Hình 62. Cửa sổ cài đặt ModelSim 49 Hình 63. Cửa sổ cài đặt ModelSim 50 Hình 64. Cửa sổ lựa chọn thư mục cài đặt . 51 Hình 65. Lựa chọn tên thư mục cho chương trình . 52 Hình 66. Tổng hợp thông tin cài đặt 53 Hình 67. Thanh process cài đặt 53 Hình 68. Cửa sổ thông báo license của phần mềm 54 Hình 69. Đăng ký thông tin 55 Hình 70. Xem thông tin card mạng của máy . 56 Hình 71. Cửa sổ thuộc tín của hệ thống . 57 Hình 72. Cửa sổ biến môi trường . 58 Hình 73. Hộp thoại tạo mới một biến môi trường . 58 Hình 74. Cửa sổ làm việc của ModelSim 59 Hình 75. Tạo mới project . 59 Hình 76. Thông tin của project mới . 60 Hình 77. Thêm các file vào project 60 Hình 78. Hộp thoại tạo mới file . 60 Hình 79. Cửa sổ soạn thảo . 61 Hình 80. Tạo mới file . 61 Hình 81. Thêm file vào project 62 Hình 82. Code verilog file testbench . 62 Hình 83. Thư viện word . 63 Hình 84. Thực hiện simulate 63 Hình 85. Chọn tín hiệu sẽ được vẽ dạng sóng (giản đồ) 64 Hình 86. Cửa sổ lệnh . 64 Hình 87. Giản đồ thơi gian của các tín hiệu . 65 Hình 88. Kết thúc quá trình simulate . 65 Hình 89. đồ kết nối mạch 66 Hình 90. Kết nối mạch . 68  Hình 91. Bảng thực trị và đồ mạch . 68 Hình 92. đồ mạch 69 Hình 93. Bảng thực trị và đồ kết nối . 69 Hình 94. đồ kết nối . 70 Hình 95. Bảng thực trị . 70 Hình 96. Bảng thực trị . 71 Hình 97. đồ kết nối mạch 72 Hình 98. Bảng thực trị và đồ kết nối mạch . 73 Hình 99. Mạch cộng 4-bit Full-Adder . 73 Hình 100. Tạo file máy trạng thái 76 Hình 101. Cửa sổ làm việc . 77 Hình 102. Tạo các trạng thái 78 Hình 103. Tạo các đường chuyển trạng thái 78 Hình 104. Thiết lập biểu thức chuyển trạng thái . 79 Hình 105. Thiết lập tín hiệu xuất và action của mỗi trạng thái 80 Hình 106. Máy trạng thái . 80 Hình 107. Chuyển máy trạng thái sang định dạng của ngôn ngữ thiết kết phần cứn 81 Hình 108. Code verilog được tạo ra từ máy trạng thái 82 Hình 109. Chương trình chính . 83 Hình 110. Thiết lập top-level . 83 Hình 111. Lưu đồ trạng thái . 84  ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Buổi 1. Tổng quan về các phần mềm thiết kế trên FPGA Mục tiêu  Nắm được công nghệ FPGA  Làm quen board thực hành DE2  Cài đặt, và làm quen với các phần mềm: Quartus II, Nios II I. Giới thiệu Board DE2 của Altera 1. Giới thiệu Board DE2 là board mạch phục vụ cho việc nghiên cứu và phát triển về các lĩnh vực luận lý số học (digital logic), tổ chức máy tính (computer organization) và FPGA. Hình 1.Board DE2 2. Thành phần Board DE2 cung cấp khá nhiều tính năng hỗ trợ cho việc nghiên cứu và phát triển, dưới đây là thông tin chi tiết của một board DE2: ¾ FPGA: - Vi mạch FPGA Altera Cyclone II 2C35. - Vi mạch Altera Serial Configuration – EPCS16. Thực hành thiết kết mạch số với HDL 7 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT ¾ Các thiết bị xuất nhập: - USB Blaster cho lập trình và điểu khiển API của người dung; hỗ trợ cả 2 chế độ lập trình JTAG và AS. - Bộ điều khiển Cổng 10/100 Ethernet. - Cổng VGA-out. - Bộ giải mã TV và cổng nối TV-in. - Bộ điều khiển USB Host/Slave với cổng USB kiểu A và kiểu B. - Cổng nối PS/2 chuột/bàn phím. - Bộ giải mã/mã hóa âm thanh 24-bit chất lượng đĩa quang với jack cắm line-in, line-out, và microphone. - 2 Header mở rộng 40-pin với lớp bảo vệ diode. - Cổng giao tiếp RS-232 và cổng nối 9-pin. - Cổng giao tiếp hồng ngoại. ¾ Bộ nhớ: - SRAM 512-Kbyte. - SDRAM 8-Mbyte. - Bộ nhớ cực nhanh 4-Mbyte (1 số mạch là 1-Mbyte). - Khe SD card. ¾ Switch, các đèn led, LCD, xung clock - 4 nút nhấn, 18 nút gạt. - 18 LED đỏ, 9 LED xanh, 8 Led 7 đoạn - LCD 16x2 - Bộ dao động 50-MHz và 27-MHz cho đồng hồ nguồn. 3. Một vài ứng dụng của board DE2 ¾ Ứng dụng làm TV box Hình 2. TV Box Thực hành thiết kết mạch số với HDL 8 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT ¾ Chương trình vẽ bằng chuột USB (paintbrush) Hình 3. Chương trình vẽ (paintbrush) ¾ Máy hát Karaoke và máy chơi nhạc SD Hình 4. Máy hát Karaoke và máy chơi nhạc từ card SD II. Cách cài đặt Quartus II 8.0 và Nios II 1. Giới thiệu Bộ phần mềm thiết kế đi kèm với board DE2 bao gồm 2 dĩa: Quartus 2 và Nios 2 Integrated Development Environment (IDE) Quartus II là phần mềm hỗ trợ tất cả mọi quá trình thiết kế một mạch logic, bao gồm quá trình thiết kế, tổng hợp, placement và routing (sắp xếp và chạy dây), mô phỏng (simulation), và lập trình lên thiết bị (DE2). Nios II, môi trường phát triển tích hợp của họ Nios II (IDE), nó là công cụ phát triển chủ yếu của họ vi xử lý Nios II. Phần mềm sẽ là môi trường cung cấp khả năng chỉnh sửa, xây dựng, debug và mô tả lược về chương trình. IDE còn cho phép tạo các chương trình từ đơn nhiệm (single-threaded) đến các chương Thực hành thiết kết mạch số với HDL 9 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT trình phức tạp dựa trên một hệ điều hành thời gian thực và các thư viện middleware. 2. Cài đặt ¾ Cài đặt Quartus II và Nios II Quá trình cài đặt Quartus II và Nios đơn giản chỉ cần đưa đĩa vào máy và thực hiện theo hướng dẫn của chương trình cài đặt ¾ Cài đặt phần mềm USB blaster driver Vì Board DE2 được lập trình bằng cách sử dụng phần mềm USB Blaster (USB Blaster mechanism). Nên nếu USB Blaster driver chưa được cài đặt thì quá trình lập trình xuống board DE2 sẽ không thành công. Sau khi gắn board DE2 vào máy tính thông qua cổng USB, nếu USB Blaster driver chưa được cài đặt thì hộp thoại sau sẽ xuất hiên, Chọn No, not this time sau đó nhấn Next Hình 5. Thông báo chưa cài dirver Chọn Install from a specific location và chọn Next Thực hành thiết kết mạch số với HDL 10 KTMT [...]... (single-threaded) đến các chương Thực hành thiết kết mạch số với HDL 9 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 15. Tạo mới file Bước 2. Sau đó chọn loại file mà chúng ta muốn viết chương trình. Ở đây ta chọn loai file Verilog HDL Thực hành thiết kết mạch số với HDL 18 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 31. Cửa sổ thiết lập các thơng số của q trình simulate Bước 10.... Tiếp đó bạn nhấn chuột trái lên cửa sổ làm việc để thực hiện việc đặc một linh kiện cổng nor (2 ngõ nhập). Để kết thúc việc chọn cổng nor2, bạn nhấp chuột phải vào cửa sổ làm việc và chọn Cancel Thực hành thiết kết mạch số với HDL 43 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 54. Quá trình lập trình hồn tất Thực hành thiết kết mạch số với HDL 39 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT... Thực hành thiết kết mạch số với HDL 22 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 38. Thơng báo simulate thành cơng Hình 39. Kết quả q trình mơ phỏng (simulation) 4. Cấu hình chân và nạp lên board ¾ Cấu hình chân Để cấu hình chân bạn tiến hành lần lượt theo các bước sau: Bước 1. Chọn Assignments-> Pins cửa sổ lựa chọn chân sẽ xuất hiện như hình: Thực hành thiết kết mạch số với. .. Hardware Setup, cửa sổ Hardware Setup sẽ xuất hiện khi đó bạn chọn USB-Blaster để lựa chọn cổng kết nối với board DE2. Thực hành thiết kết mạch số với HDL 34 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 13. Hộp thoại lựa chọn chip Bước 4. Cuối cùng chọn Finish để hoàn tất Thực hành thiết kết mạch số với HDL 16 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 40. Cửa sổ gán chân Bước 2. Nhấp... danh sách các chân trong FPGA sẽ được hiện ra bạn chọn chân của FPGA sẽ nối với tín hiệu (ví dụ ở đây chọn chân PIN_N25). Thực hành thiết kết mạch số với HDL 31 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 62. Cửa sổ cài đặt ModelSim Bước 3. Bạn nhấn Next để tiếp sang bước kế tiếp. Thực hành thiết kết mạch số với HDL 49 KTMT ... hiệu sẽ được test Bước 3. Chọn Node Finder Thực hành thiết kết mạch số với HDL 23 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 52. Cửa sổ lập trình trong chế độ AS Hình 53. Lựa chọn file cấu hình Bước 5. Nhấp vào lựa chọn Program/Configure. Tiếp đó bạn nhấn nút Start để nạp chương trình cấu hình lên board DE2 Thực hành thiết kết mạch số với HDL 38 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT... tất 32   Hình 44. Lưu lại file cấu hình chân 33   Hình 91. Bảng thực trị và đồ mạch 68   Hình 92. đồ mạch 69   Hình 93. Bảng thực trị và đồ kết nối 69   Hình 94. đồ kết nối 70   Hình 95. Bảng thực trị 70   Hình 96. Bảng thực trị 71   Hình 97. đồ kết nối mạch 72   Hình 98. Bảng thực trị và đồ kết nối mạch 73   Hình 99. Mạch cộng 4-bit Full-Adder 73   Hình 100. Tạo file máy trạng thái... tiến hành q trình phân tích và tổng hợp Hình 32. Thực hiện chức năng tổng hợp và phân tích chương trình Bước 11. Hộp thoại thơng báo q trình phân tích và tổng hợp thành cơng, chọn OK để ấn định Thực hành thiết kết mạch số với HDL 27 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình 63. Cửa sổ cài đặt ModelSim Bước 4. Bạn nhấn Yes để chấp nhận các yêu cầu về license của Altera Thực hành thiết. .. ModelSim Bước 4. Bạn nhấn Yes để chấp nhận các yêu cầu về license của Altera Thực hành thiết kết mạch số với HDL 50 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Configuration device chọn EPCS16. Nhấn OK để ấn định sau đó dịch lại chương trình. Hình 49. Cửa sổ thiết bị Thực hành thiết kết mạch số với HDL 36 KTMT Mục lục hình WX Hình 1.Board DE2 7   Hình 2. TV Box 8   Hình 3. Chương trình... Hình 27. Xác nhận lại việc lựa chọn tín hiệu Bước 6. Thiết lập giá trị các tín hiệu: ¾ Ta rê chuột để tơ khối chúng lại ¾ Sau đó sử dụng các nút 0, 1 để thiết lập giá trị cho chúng (xem hình 28) Hình 28. Thiết lập giá trị cho các tín hiệu Bước 7. Sau khi thiết lập giá trị của các chân xong ta Save lại. Thực hành thiết kết mạch số với HDL 25 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT Hình . DE2: ¾ FPGA: - Vi mạch FPGA Altera Cyclone II 2C35. - Vi mạch Altera Serial Configuration – EPCS16. Thực hành thiết kết mạch số với HDL 7 KTMT ĐH.. chương Thực hành thiết kết mạch số với HDL 9 KTMT ĐH. Bách Khoa TP.HCM Khoa KH&KTMT trình phức tạp dựa trên một hệ điều hành thời gian thực và

Ngày đăng: 15/10/2012, 10:27

Hình ảnh liên quan

Hình 3. Chương trình vẽ (paintbrush) - Thực hành thiết kế mạch số với hdl

Hình 3..

Chương trình vẽ (paintbrush) Xem tại trang 9 của tài liệu.
Hình 7. Chọn thư mục tìm kiếm driver - Thực hành thiết kế mạch số với hdl

Hình 7..

Chọn thư mục tìm kiếm driver Xem tại trang 11 của tài liệu.
Hình 8. Chọn thư mục chứa dirver - Thực hành thiết kế mạch số với hdl

Hình 8..

Chọn thư mục chứa dirver Xem tại trang 12 của tài liệu.
Hình 11. Tạo mới một project - Thực hành thiết kế mạch số với hdl

Hình 11..

Tạo mới một project Xem tại trang 14 của tài liệu.
Hình 14. Hộp thoại tổng hợp các thông tin của project - Thực hành thiết kế mạch số với hdl

Hình 14..

Hộp thoại tổng hợp các thông tin của project Xem tại trang 17 của tài liệu.
Hình 17.Cửa sổ viết code - Thực hành thiết kế mạch số với hdl

Hình 17..

Cửa sổ viết code Xem tại trang 19 của tài liệu.
Hình 20. Lựa chọn lop-level cho file - Thực hành thiết kế mạch số với hdl

Hình 20..

Lựa chọn lop-level cho file Xem tại trang 21 của tài liệu.
Hình 23. Tạo mới file testbench - Thực hành thiết kế mạch số với hdl

Hình 23..

Tạo mới file testbench Xem tại trang 23 của tài liệu.
Hình 32. Thực hiện chức năng tổng hợp và phân tích chương trình - Thực hành thiết kế mạch số với hdl

Hình 32..

Thực hiện chức năng tổng hợp và phân tích chương trình Xem tại trang 27 của tài liệu.
Hình 34. Thiết lập file testbench cho chương trình - Thực hành thiết kế mạch số với hdl

Hình 34..

Thiết lập file testbench cho chương trình Xem tại trang 28 của tài liệu.
4. Cấu hình chân và nạp lên board - Thực hành thiết kế mạch số với hdl

4..

Cấu hình chân và nạp lên board Xem tại trang 30 của tài liệu.
Tools->Programmer, cửa sổ lập trình cho board DE2 sẽ xuất hiện như hình vẽ.  - Thực hành thiết kế mạch số với hdl

ools.

>Programmer, cửa sổ lập trình cho board DE2 sẽ xuất hiện như hình vẽ. Xem tại trang 34 của tài liệu.
Hình 47. Cửa sổ Hardware Setup - Thực hành thiết kế mạch số với hdl

Hình 47..

Cửa sổ Hardware Setup Xem tại trang 35 của tài liệu.
Hình 52. Cửa sổ lập trình trong chế độ AS - Thực hành thiết kế mạch số với hdl

Hình 52..

Cửa sổ lập trình trong chế độ AS Xem tại trang 38 của tài liệu.
Hình 61. Lựa chọn file cấu hình cho project - Thực hành thiết kế mạch số với hdl

Hình 61..

Lựa chọn file cấu hình cho project Xem tại trang 46 của tài liệu.
Hình 65. Lựa chọn tên thư mục cho chương trình - Thực hành thiết kế mạch số với hdl

Hình 65..

Lựa chọn tên thư mục cho chương trình Xem tại trang 52 của tài liệu.
Hình 66. Tổng hợp thông tin cài đặt - Thực hành thiết kế mạch số với hdl

Hình 66..

Tổng hợp thông tin cài đặt Xem tại trang 53 của tài liệu.
Hình 68. Cửa sổ thông báo license của phần mềm - Thực hành thiết kế mạch số với hdl

Hình 68..

Cửa sổ thông báo license của phần mềm Xem tại trang 54 của tài liệu.
Hình 70. Xem thông tin card mạng của máy - Thực hành thiết kế mạch số với hdl

Hình 70..

Xem thông tin card mạng của máy Xem tại trang 56 của tài liệu.
Hình 71. Cửa sổ thuộc tín của hệ thống - Thực hành thiết kế mạch số với hdl

Hình 71..

Cửa sổ thuộc tín của hệ thống Xem tại trang 57 của tài liệu.
Bước 1. Nhấp đúp vào icon của ModelSim trên màn hình desktop. Cửa sổ làm việc của ModelSim sẽ xuất hiện như hình vẽ - Thực hành thiết kế mạch số với hdl

c.

1. Nhấp đúp vào icon của ModelSim trên màn hình desktop. Cửa sổ làm việc của ModelSim sẽ xuất hiện như hình vẽ Xem tại trang 59 của tài liệu.
Hình 82. Code verilog file testbench - Thực hành thiết kế mạch số với hdl

Hình 82..

Code verilog file testbench Xem tại trang 62 của tài liệu.
Hình 83. Thư viện word - Thực hành thiết kế mạch số với hdl

Hình 83..

Thư viện word Xem tại trang 63 của tài liệu.
Hình 94. Sơ đồ kết nối - Thực hành thiết kế mạch số với hdl

Hình 94..

Sơ đồ kết nối Xem tại trang 70 của tài liệu.
Hình 99. Mạch cộng 4-bit Full-Adder - Thực hành thiết kế mạch số với hdl

Hình 99..

Mạch cộng 4-bit Full-Adder Xem tại trang 73 của tài liệu.
Hình 103. Tạo các đường chuyển trạng thái - Thực hành thiết kế mạch số với hdl

Hình 103..

Tạo các đường chuyển trạng thái Xem tại trang 78 của tài liệu.
Bước 8. Nhấp vào biểu tượng (State table) để hiển thị bảng trạng thái (nếu bảng này đã bịẩn đi) - Thực hành thiết kế mạch số với hdl

c.

8. Nhấp vào biểu tượng (State table) để hiển thị bảng trạng thái (nếu bảng này đã bịẩn đi) Xem tại trang 79 của tài liệu.
Hình 105. Thiết lập tín hiệu xuất và action của mỗi trạng thái - Thực hành thiết kế mạch số với hdl

Hình 105..

Thiết lập tín hiệu xuất và action của mỗi trạng thái Xem tại trang 80 của tài liệu.
Bước 14. Cuối cùng bạn sẽ được máy trạng thái như hình vẽ: - Thực hành thiết kế mạch số với hdl

c.

14. Cuối cùng bạn sẽ được máy trạng thái như hình vẽ: Xem tại trang 80 của tài liệu.
Hình 110. Thiết lập top-level - Thực hành thiết kế mạch số với hdl

Hình 110..

Thiết lập top-level Xem tại trang 83 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan