Spartan-3E Starter Kit.pdf

41 1.9K 22
Spartan-3E Starter Kit.pdf

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Tài liệu kỹ thuật về Spartan-3E Starter Kit.

Spartan-3E Starter Kit Biên soạn: thavali ĐH Khoa Học Tự Nhiên TP.Hồ Chí Minh. I. CÁC THÀNH PHẦN CỦA KIT 1. Xilinx XC3S500E Spartan-3E FPGA : con chính của KIT 2. Xilinx 4 Mbit Platform Flash configuration PROM 3. Xilinx 64-macrocell XC2C64A CoolRunner CPLD 4. 64 MByte (512 Mbit) of DDR SDRAM, x16 data interface, 100+ MHz 5. 16 MByte (128 Mbit) of parallel NOR Flash (Intel StrataFlash) 6. 16 Mbits of SPI serial Flash (STMicro) 7. 2-line, 16-character LCD screen 8. PS/2 mouse or keyboard port 9. VGA display port 10. 10/100 Ethernet PHY (requires Ethernet MAC in FPGA) 11. Two 9-pin RS-232 ports (DTE- and DCE-style) 12. On-board USB-based FPGA/CPLD download/debug interface 13. 50 MHz clock oscillator 14. SHA-1 1-wire serial EEPROM for bitstream copy protection 15. Hirose FX2 expansion connector 16. Three Digilent 6-pin expansion connectors 17. Four-output, SPI-based Digital-to-Analog Converter (DAC) 18. Two-input, SPI-based Analog-to-Digital Converter (ADC) with programmable-gain 19. pre-amplifier 20. ChipScope™ SoftTouch debugging port 21. Rotary-encoder with push-button shaft 22. Eight discrete LEDs 23. Four slide switches II. SƠ ĐỒ CHÂN CỦA XC3S500E XC3S500E có kiểu chân BGA, 320 chân như hình: Cách đánh số chân: Vị trí của 1 chân được xác định theo qui tắc ma trận 2 chiều. Chiều ngang (dòng) là số từ 0 tới 18. Chiều dọc (cột) là chữ từ A tới V. Ví dụ: chân A1, là chân ở dòng A, cột 1. Đây là chân GND của FPGA. Tài nguyên: 10000 logic cells, có 232 chân I/O cho người dùng tự định nghĩa. III. BỘ TẠO DAO ĐỘNG TRÊN KIT Có 3 nguồn cập xung clk cho FPGA: 1. Dùng thạch anh có sẵn 50 MHz trên board. Ngõ ra của thạch anh nối với chân C9 của chip. 2. Cấp xung clk thông qua chân cắm 8 pin trên board (hình 3.1) Ngõ ra của thạch anh nối với chân B8 của chip. 3. Cấp Cấp xung clk thông qua chân cắm SMA, chân cắm này chỉ nhận clk từ máy phát clk, không dùng trực tiếp thạch anh được . Ngõ ra clk nối với chân A10 của chip. IV. CÁC NÚT CHUYỂN, NÚT NHẤN, LED 4 nút chuyển (hình 2-1) theo thứ tự là : SW0, SW1, SW2, SW3 kết nối với chân tương ứng của chip là : L13, L14, H18, N17 của chip FPGA. Để ý: đây là các chân ngõ vào của FPGA. Trên Chip chỉ có 232 chân I/O tùy chọn. Khi các nút SWx (x =0,3) ON (bật lên) thì chân FPGA tương ứng ở mức cao (3.3V) và ngược lại. (hình) 4 nút nhấn (hình 2-3) theo thứ tự là BTN_EAST (phải), BTN_NORTH ( trên), BTN_SOUTH (dưới), BTN_WEST (trái) kết nối tương ứng với các chân H13, K14, V17, D18 , là các chân input của FPGA. Khi nhấn các nút trên thì chân tương ứng với nó sẽ lên mức cao. Khi không nhấn, cần dùng 1 điện trở nội pull-down để tạo mức thấp. (bắt buộc). Nút Encoder : có 3 ngõ ra: ROT_A, ROT_B, ROT_CENTER : nối với chân K18, G18, V16 của FPGA. Nút Encoder có 2 chức năng : Như nút nhấn : nhấn xuống sẽ đưa chân V16 của FPGA lên mức cao. Xoay phải (hay trái) sẽ tạo xung encoder ngõ ra tương ứng (hình 2-8). Cả 3 chân ngõ ra của encoder điều cần dùng điện trở pull-up nội. 8 Led (góc dưới cùng bên phải) LD0, …, LD7 nối với 8 chân tương ứng F9, E9, D11, C11, F11, E11, E12, F12 của FPGA. Đầu còn lại của các Led nối với GND thông qua điện trở 290 ohm. (hình 2-10). V. CẤP NGUỒN Tất cả các chân của FPGA điều có 2 lựa chọn nguồn cấp 2.5 v hoặc 3.3V thông qua jumper JP9. (cắm bên trái 2.5 V, cắm bên phải 3.3 V). VI. CẤU HÌNH FPGA. Có 4 cách để nạp chương trình cho FPGA (hình 4-1). 1. Nạp trực tiếp vào FPGA thông qua cổng JTAG hay cổng USB. 2. Nạp vào Platform Flash PROM XCF04S (4Mbit), rồi cấu hình cho FPGA ở chế độ Master Serial Mode. 3. Nạp vào serial Flash PROM ST Microelectronics (16 Mbit), rồi cấu hình cho FPGA ở chế độ SPI Mode. 4. Nạp vào StrataFlash parallel PROM Intel (128 Mbit), rồi cấu hình cho FPGA ở chế độ BPI Up Mode hay BPI Down Mode. Để thiết lập các chế nạp cho FPGA, ta set jumper JP 30 như bảng 4-1. Mỗi lần thay đổi chế độ cấu hình phải nhấn nút PROG (hình) để restart lại cấu hình. 1. Nạp trực tiếp vào FPGA thông qua cổng JTAG hay cổng USB. Set Jumper như bảng 4-1 trên. Mở Tool iMPACT rồi nạp bình thường như với CPLD. Nếu dùng cổng USB sẽ có cảnh báo: Chọn OK, rồi nạp bình thường (file có đuôi .bit). Để ý: Chương trình cấu hình cho FPGA bị mất khi cắt nguồn. 2. Nạp vào Platform Flash PROM XCF04S (4Mbit), rồi cấu hình cho FPGA ở chế độ Master Serial Mode. Đây là PROM cấu hình của xilinx. PROM này sẽ giữ cấu hình của FPGA nên khi cắt nguồn , file cấu hình sẽ không bị mất. Thực hiện: Set Jumper như bảng 4-1 trên. Cắm cổng USB. Cài drive nếu máy (window) yêu cầu. a. Tạo file bitstream (.bit) cho FPGA : Click phải vào Generator Programming File, chọn Properties (hình 4-10). Chọn Configuration Options, chọn Configuration Rate là 25 (để tốc độ nạp vào PROM là nhanh nhất 25 MHz). Cuối cùng nhấn OK để hoàn tất lựa chọn (hình 4-11). Click đúp vào Generate Programming File để tạo file .bit. (hình 4-12). b. Tạo file cấu hình cho PROM File để nạp cho FPGA là .bit, file để nạp vào Flash PROM XCF04S của là .mcs. Do đó file .mcs được được tạo ra từ file .bit đã tạo được ở bước a. Thực hiện: Click đúp vào Generate PROM, ACE, or JTAG File để khởi động chương trình iMPACT (hình 4-13). Click đúp vào PROM File Formatter (hình 4-14). Phần target PROM type chọn Xilinx PROM. Phần PROM File Formats chọn MCS. (chọn định dạng khác cũng được, nhưng MCS là phổ biến). Phần PROM File Name đặt tên cho file nạp vào PROM. (hình 4 -15). Tiếp tục click Next, chọn xcf04s. Click Add, rồi click Next và Finish để hoàn tất lựa chọn. Chương trình sẽ hỏi file .bit , chọn file .bit rồi click OK. Tiếp tục click NO rồi OK để bắt đầu format và config PROM (hình 4-18). [...].. .Spartan-3E Starter Kit Biên soạn: thavali ĐH Khoa Học Tự Nhiên TP.Hồ Chí Minh. I. CÁC THÀNH PHẦN CỦA KIT 1. Xilinx XC3S500E Spartan-3E FPGA : con chính của KIT 2. Xilinx 4 Mbit Platform Flash configuration PROM 3. Xilinx 64-macrocell XC2C64A CoolRunner CPLD . Spartan-3E Starter Kit Biên soạn: thavali ĐH Khoa Học Tự Nhiên TP.Hồ Chí Minh. I. CÁC THÀNH PHẦN CỦA KIT 1. Xilinx XC3S500E Spartan-3E FPGA. CÁC THÀNH PHẦN CỦA KIT 1. Xilinx XC3S500E Spartan-3E FPGA : con chính của KIT 2. Xilinx 4 Mbit Platform Flash configuration PROM 3. Xilinx 64-macrocell

Ngày đăng: 20/08/2012, 10:05

Hình ảnh liên quan

2 .C ấp xung clk thông qua chân cắm 8 pin trên board (hình 3.1) Ngõ ra của thạch anh nối với chân B8 của chip - Spartan-3E Starter Kit.pdf

2.

C ấp xung clk thông qua chân cắm 8 pin trên board (hình 3.1) Ngõ ra của thạch anh nối với chân B8 của chip Xem tại trang 2 của tài liệu.
4 nút nhấn (hình 2-3) theo thứ tự là BTN_EAST (phải), BTN_NORTH ( trên), BTN_SOUTH (dưới), BTN_WEST (trái) kết nối tương ứng với các chân H13, K14, V17, D18 , là các chân input của FPGA - Spartan-3E Starter Kit.pdf

4.

nút nhấn (hình 2-3) theo thứ tự là BTN_EAST (phải), BTN_NORTH ( trên), BTN_SOUTH (dưới), BTN_WEST (trái) kết nối tương ứng với các chân H13, K14, V17, D18 , là các chân input của FPGA Xem tại trang 3 của tài liệu.
4 nút chuyển (hình 2-1) theo thứ tự là: SW0, SW1, SW2, SW3 kết nối với chân tương ứng của chip là: L13, L14, H18, N17 của chip FPGA - Spartan-3E Starter Kit.pdf

4.

nút chuyển (hình 2-1) theo thứ tự là: SW0, SW1, SW2, SW3 kết nối với chân tương ứng của chip là: L13, L14, H18, N17 của chip FPGA Xem tại trang 3 của tài liệu.
VI. CẤU HÌNH FPGA. - Spartan-3E Starter Kit.pdf
VI. CẤU HÌNH FPGA Xem tại trang 4 của tài liệu.
Để thiết lập các chế nạp cho FPGA, ta set jumper JP 30 như bảng 4-1. Mỗi lần thay đổi chế độ cấu hình phải nhấn nút PROG (hình) để restart lại cấu hình - Spartan-3E Starter Kit.pdf

thi.

ết lập các chế nạp cho FPGA, ta set jumper JP 30 như bảng 4-1. Mỗi lần thay đổi chế độ cấu hình phải nhấn nút PROG (hình) để restart lại cấu hình Xem tại trang 5 của tài liệu.
Set Jumper như bảng 4-1 trên. Mở Tool iMPACT rồi nạp bình thường như với CPLD. Nếu dùng cổng USB sẽ có cảnh báo:  - Spartan-3E Starter Kit.pdf

et.

Jumper như bảng 4-1 trên. Mở Tool iMPACT rồi nạp bình thường như với CPLD. Nếu dùng cổng USB sẽ có cảnh báo: Xem tại trang 6 của tài liệu.
2. Nạp vào Platform Flash PROM XCF04S (4Mbit), rồi cấu hình cho FPGA ở chế độ - Spartan-3E Starter Kit.pdf

2..

Nạp vào Platform Flash PROM XCF04S (4Mbit), rồi cấu hình cho FPGA ở chế độ Xem tại trang 7 của tài liệu.
Để ý: Chương trình cấu hình cho FPGA bị mất khi cắt nguồn. - Spartan-3E Starter Kit.pdf

h.

ương trình cấu hình cho FPGA bị mất khi cắt nguồn Xem tại trang 7 của tài liệu.
b. Tạo file cấu hình cho PROM - Spartan-3E Starter Kit.pdf

b..

Tạo file cấu hình cho PROM Xem tại trang 8 của tài liệu.
Click đúp vào Generate Programming File để tạo file .bit. (hình 4-12). - Spartan-3E Starter Kit.pdf

lick.

đúp vào Generate Programming File để tạo file .bit. (hình 4-12) Xem tại trang 8 của tài liệu.
Click đúp vào Generate PROM, ACE, or JTAG File để khởi động chương trình iMPACT (hình 4-13). - Spartan-3E Starter Kit.pdf

lick.

đúp vào Generate PROM, ACE, or JTAG File để khởi động chương trình iMPACT (hình 4-13) Xem tại trang 9 của tài liệu.
Click đúp vào PROM File Formatter (hình 4-14). - Spartan-3E Starter Kit.pdf

lick.

đúp vào PROM File Formatter (hình 4-14) Xem tại trang 9 của tài liệu.
Tiếp tục click NO rồi OK để bắt đầu format và config PROM (hình 4-18). - Spartan-3E Starter Kit.pdf

i.

ếp tục click NO rồi OK để bắt đầu format và config PROM (hình 4-18) Xem tại trang 10 của tài liệu.
Kết quả sẽ như hình 4-19. Giá trị %ở PROM là dung lượng file so với tổng dung lượng của PROM. - Spartan-3E Starter Kit.pdf

t.

quả sẽ như hình 4-19. Giá trị %ở PROM là dung lượng file so với tổng dung lượng của PROM Xem tại trang 11 của tài liệu.
Đến đây đã hoàn tất việc tạo file cấu hình cho PROM (hình 4-21). - Spartan-3E Starter Kit.pdf

n.

đây đã hoàn tất việc tạo file cấu hình cho PROM (hình 4-21) Xem tại trang 12 của tài liệu.
Tiếp tục click phải vào biểu tượng của XCF04S và chọn Program (hình 4-24). - Spartan-3E Starter Kit.pdf

i.

ếp tục click phải vào biểu tượng của XCF04S và chọn Program (hình 4-24) Xem tại trang 13 của tài liệu.
Chương trình sẽ hỏi kiểu PROM mà ta cần nạp vào, lựa chọn XCF04S và click OK (hình 4-25). - Spartan-3E Starter Kit.pdf

h.

ương trình sẽ hỏi kiểu PROM mà ta cần nạp vào, lựa chọn XCF04S và click OK (hình 4-25) Xem tại trang 14 của tài liệu.
Đánh chéo (check) vào các ô: Erase Before Programming, Verify, Load FPGA rồi click OK (hình 4-26). - Spartan-3E Starter Kit.pdf

nh.

chéo (check) vào các ô: Erase Before Programming, Verify, Load FPGA rồi click OK (hình 4-26) Xem tại trang 14 của tài liệu.
4-26) thì phải nhấn nút PROG_B (hình 4-2) để reset lại cấu hình cho FPGA. Nếu thành công led DONE - Spartan-3E Starter Kit.pdf

4.

26) thì phải nhấn nút PROG_B (hình 4-2) để reset lại cấu hình cho FPGA. Nếu thành công led DONE Xem tại trang 15 của tài liệu.
Bộ quản lí xung clock làm ột khối có 3 chức năng chính (hình 40): - Spartan-3E Starter Kit.pdf

qu.

ản lí xung clock làm ột khối có 3 chức năng chính (hình 40): Xem tại trang 17 của tài liệu.
VIII. SƠ LƯỢT VỀ ISE Webpack 9.1i VIII.1. Tạo Một Project:  - Spartan-3E Starter Kit.pdf

ebpack.

9.1i VIII.1. Tạo Một Project: Xem tại trang 18 của tài liệu.
đường tín hiệu giúp kết nối các IOBs, CLBs, DCMs và block RAM lại với nhau (hình 48). - Spartan-3E Starter Kit.pdf

ng.

tín hiệu giúp kết nối các IOBs, CLBs, DCMs và block RAM lại với nhau (hình 48) Xem tại trang 18 của tài liệu.
Ta chọn các thông số cho pad to setup như hình liền dưới, rồi click OK. - Spartan-3E Starter Kit.pdf

a.

chọn các thông số cho pad to setup như hình liền dưới, rồi click OK Xem tại trang 29 của tài liệu.
Cửa sổ xuất hiện, ta chọn các thông số như hình liền dưới rồi click OK. - Spartan-3E Starter Kit.pdf

a.

sổ xuất hiện, ta chọn các thông số như hình liền dưới rồi click OK Xem tại trang 30 của tài liệu.
Cuối cùng ta được kết quả như hình sau: - Spartan-3E Starter Kit.pdf

u.

ối cùng ta được kết quả như hình sau: Xem tại trang 30 của tài liệu.
Dựa vào các tín hiệu điều khiển ta có thể gởi tới DRAM các lệnh sau (bảng III.1): - Spartan-3E Starter Kit.pdf

a.

vào các tín hiệu điều khiển ta có thể gởi tới DRAM các lệnh sau (bảng III.1): Xem tại trang 37 của tài liệu.
Cuối cùng ta gởi đi lệnh LMR để bắt đầu cấu hình, bằng cách cho CS#, WE#, CAS#, RAS# = {0,0,0,0}. - Spartan-3E Starter Kit.pdf

u.

ối cùng ta gởi đi lệnh LMR để bắt đầu cấu hình, bằng cách cho CS#, WE#, CAS#, RAS# = {0,0,0,0} Xem tại trang 39 của tài liệu.
- Sau khi lệnh cấu hình LMR được gởi đi, thì lệnh kế tiếp là ACTIVE, lệnh ACTIVE thực chất là lệnh kích hoạt dòng (row) và bank, nơi mà ta cần truy xuất dữ liệu - Spartan-3E Starter Kit.pdf

au.

khi lệnh cấu hình LMR được gởi đi, thì lệnh kế tiếp là ACTIVE, lệnh ACTIVE thực chất là lệnh kích hoạt dòng (row) và bank, nơi mà ta cần truy xuất dữ liệu Xem tại trang 40 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan