Đồ án môn học: Thiết kế mạch chuyển nhị phân 4 Bit sang mã Gray và dư 3 sử dụng công tắc điều khiển pdf

30 3.8K 2
Đồ án môn học: Thiết kế mạch chuyển nhị phân 4 Bit sang mã Gray và dư 3 sử dụng công tắc điều khiển pdf

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học BỘ GIÁO DỤC ĐÀO TẠO TRƯỜNG ĐẠI HỌC PHẠM KỸ THUẬT HƯNG YÊN KHOA ĐIỆN-ĐIỆN TỬ    ĐỒ ÁN MÔN HỌC ĐỀ TÀI :THIẾT KẾ MẠCH CHUYỂN NHỊ PHÂN 4 BIT SANG GRAY 3 Giáo viên hướng dẫn : Lý Văn Đạt Sinh viên thực hiện : Vũ Minh Thành Lê Thị Thảo Nguyễn Xuân Thảnh Lớp :ĐT.K40 Hưng Yên , tháng 03 năm 2012 1 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học ĐỒ ÁN MÔN HỌC Khóa học: 2010-2013 Lớp: ĐTK40 TÊN ĐỀ TÀI: Thiết kế mạch chuyển nhị phân 4 bit sang gray 3 I. Dữ kiện cho trước: + Các linh kiện điện tử có bán trên thị trường. + Mạch điện một chiều 5v + Tài liệu tham khảo: điện tử công suất,điện tử căn bản,linh kiện điện tử II. Nội dung cần hoàn thành: Phần I. Cơ sở lý thuyết. 1. Giới thiệu về nhị phân ,gray,dư 3 2. mạch hạ áp 220ac về 5v dc Phần II . Phương án thiết kế. Thiết kếđồ nguyên lý (mạch chuyển nhị phân 4 bit sang gray 3). Yêu cầu: + Sản phẩm hoạt động tốt, đảm bảo kỹ thuật. + Thuyết minh đề tài (Phân tích yêu cầu, trình bày các giải pháp thực hiện, cơ sở lý thuyết, quá trình thực hiện đồ án, khả năng ứng dụng của đồ án). + Nộp thuyết minh hoàn thành sản phẩm đúng thời gian quy định. 2 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học NHẬN XÉT CỦA GIÁO VIÊN. ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ……………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… …………………………………………………………………………………. ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… ………………………………………………………………………………… Hưng Yên, ngày tháng năm 2012 3 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học MỤC LỤC 4 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học LỜI NÓI ĐẦU Từ khi ra đời cho tới nay , kĩ thuật số đã được ứng dụng rất nhiều vào thực tế từ tivi số , máy ảnh số truyền hình số… nhiều lĩnh vực khác Kĩ thuật số có những ưu điểm như:dễ sử lí , lưu trữ , ít bị méo dạng tín hiệu khi truyền ,thiết bị đơn giản do vậy nó được sử dụng rất phổ biến . Trải qua quá trình học tập nghiên cứu nhóm chúng em đã chọn đề tài :” thiết kế mạch mạch chuyển từ nhị phân sang gray 3 sử dụng công tắc điều khiển” làm đồ án. Chúng em hi vọng sau khi hoàn thành đồ án này , nó sẽ giúp chúng em củng cố lại kiến thức chúng em đã tích lũy được trong suốt thời gian học tập là một nền tảng kiến thức vững chắc để chúng em sau khi ra trường. Do trình độ kỹ thuật còn có nhiều hạn chế nên đồ án không thể tránh khỏi những sai sót, chúng em mong được sự thông cảm chỉ bảo thêm của thầy cô. Chúng em xin chân thành cảm ơn sự hướng dẫn tận tình của Thầy Lý Văn Đạt cùng sự giúp đỡ của các bạn Xin chân thành cảm ơn! 5 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học KẾ HOẠCH TIẾN ĐỘ THỰC HIỆN TỪNG TUẦN STT Công việc thực hiện Người thực hiện 1 - - Sắp xếp công việc cho từng tuần (phân chia công việc cho từng thành viên). Cả nhóm Tìm hiểu đề tài. + Tìm kiếm tài liệu liên quan: Linh kiện điện tử, điện tử căn bản, điện tử công suất… Cả nhóm + Tìm hiểu nguyên lý các mạch có liên quan đến đề tài. Cả nhóm + Tham khảo ý kiến của những người có chuyên môn. Cả nhóm 2 - Sau khi đã tìm hiểu đề tài sẽ đưa ra cơ sở lý thuyết chung của đề tài. - Từ đó xây dựng được sơ đồ khối. - Đưa ra nguyên tắc hoạt động của các khối các linh kiện sẽ sử dụng để thiết kế mạch phù hợp với yêu cầu từng khối. Cả nhóm. 3 - Thiết kếđồ nguyên lý toàn mạch eagle 6.10 - Tính toán thông số rồi tiến hành chạy mô phỏng proteus7.10 Cả nhóm. 4 - Ráp mạch khảo sát trên bo mạch (nếu gặp lỗi chỉnh sửa lại). Cả nhóm -Đo đạc kiểm tra xem trên board chạy có đạt yêu cầu hay không? Cả nhóm -Tiến hành làm sản phẩm Cả nhóm - Lắp ráp hoàn tất sản phẩm. Cả Nhóm 5 - Chuẩn hóa nội dung, làm quyển thuyết minh. Cả nhóm - Hoàn tất sản phẩm, kiểm tra lại toàn bộ nội dung. Cả nhóm 6 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học CHƯƠNG I TỔNG QUAN ĐÈ TÀI 1.1. nhị phân,gray 3. 1.1.1. nhị phân. 1.1.1.1. Tổng quan Một nhị phân là một cách để đại diện cho văn bản hoặc hướng dẫn xử lý máy tính bằng cách sử dụng của hệ thống số nhị phân của hai chữ số nhị phân 0 1. Điều này được thực hiện bằng cách gán một chuỗi bit cho mỗi biểu tượng cụ thể hoặc hướng dẫn. Ví dụ, một chuỗi nhị phân của tám chữ số nhị phân ( bit ) có thể đại diện cho bất kỳ của 256 giá trị có thể do đó có thể tương ứng với một loạt các biểu tượng, chữ cái hoặc chỉ dẫn khác nhau. Một nhị phân là một cách để đại diện cho văn bản hoặc hướng dẫn xử lý máy tính bằng cách sử dụng của hệ thống số nhị phân của hai chữ số nhị phân 0 1. Điều này được thực hiện bằng cách gán một chuỗi bit cho mỗi biểu tượng cụ thể hoặc hướng dẫn. Ví dụ, một chuỗi nhị phân của tám chữ số nhị phân ( bit ) có thể đại diện cho bất kỳ của 256 giá trị có thể do đó có thể tương ứng với một loạt các biểu tượng, chữ cái hoặc chỉ dẫn khác nhau. Trong máy tính viễn thông, nhị phân được sử dụng cho bất kỳ một loạt các phương pháp hóadữ liệu, chẳng hạn như các chuỗi ký tự vào chuỗi bit. Những phương pháp này có thể được cố định chiều rộng hoặc chiều rộng biến . Trong một nhị phân có chiều rộng cố định, mỗi chữ cái, chữ số hoặc ký tự khác, được đại diện bởi một chuỗi bit của chiều dài tương tự, rằng chuỗi bit, giải thích như là một số nhị phân , thường được hiển thị trong bảng trong bát phân , thập phân hoặc thập lục phân ký hiệu. Có rất nhiều các bộ ký tự nhiều bảng ký tự cho họ. 7 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học Một chuỗi bit, được hiểu là một số nhị phân, có thể được dịch thành một số thập phân . Ví dụ, chữ thường "một" như đại diện bởi chuỗi bit 01100001, cũng có thể được đại diện là số thập phân 97. 1.1.1.2.Lịch sử của nhị phân Số nhị phân được mô tả lần đầu tiên trong Chandashutram bằng văn bản của Pingala trong 100 trước công nguyên. nhị phân lần đầu tiên được giới thiệu bởi các nhà toán học triết học Đức Gottfried Wilhelm Leibniz trong thế kỷ 17. Leibniz đã cố gắng để tìm thấy một hệ thống chuyển đổi báo cáo bằng lời nói logic vào một toán học tinh khiết. Sau khi ý tưởng của ông đã được bỏ qua, ông đi qua một văn bản cổ điển Trung Quốc gọi là "Kinh Dịch hay Sách Thay đổi ', sử dụng một loại nhị phân. Cuốn sách đã khẳng định lý thuyết của ông rằng sự sống có thể được đơn giản hóa, giảm xuống đến một loạt các mệnh đề đơn giản. Ông đã tạo ra một hệ thống bao gồm các hàng của số không những người thân. Trong khoảng thời gian này, Leibiniz chưa tìm thấy một sử dụng cho hệ thống này. Một nhà toán học triết học bằng tên của George Boole xuất bản một bài báo năm 1847 được gọi là "phân tích toán học của Logic mô tả một hệ thống đại số logic, bây giờ được gọi là đại số Boolean . Hệ thống của Boole đã được dựa trên hệ nhị phân, 1 có, không, on-off phương pháp tiếp cận đó bao gồm các 3 hoạt động cơ bản nhất AND, OR, NOT. [ 2 ] Hệ thống này đã không đưa vào sử dụng cho đến khi 1 sinh viên tốt nghiệp từ Viện Công nghệ Massachusetts theo tên Claude Shannon nhận thấy rằng các đại số Boolean ông đã học được là tương tự như một mạch điện. Shannon đã viết luận án của mình vào năm 1937, thực hiện phát hiện của mình. Shannon của luận án đã trở thành một điểm khởi đầu cho việc sử dụng các nhị phân trong ứng dụng thực tế như máy tính, 8 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học 1.1.2 gray 1.1.2.1. nhị phân phản xạ Cũng được biết đến với tên gọi là Gray – đặt theo tên của Frank Gray, là một hệ thống ký số nhị phân, trong đó hai giá trị liên tiếp chỉ khác nhau một chữ số. Lúc đầu, nhị phân phản xạ được phát minh với mục đích ngăn ngừa tín hiệu ngõ ra không chính xác của các bộ chuyển mạch cơ điện. Ngày nay, Gray được sử dụng rộng rãi để sửa lỗi trong những phương tiện liên lạc bằng số, ví dụ như truyền hình kỹ thuật số mặt đất một vài hệ thống truyền hình cáp 1.1.2.2.Tên gọi Tên gốc “mã nhị phân phản xạ” được đưa ra dựa vào một tính chất của bảng Gray : các giá trị ở nửa sau của bảng sự đối xứng với các giá trị ở nửa đầu của bảng theo thứ tự ngược lại, ngoại trừ bit cao nhất bị đảo giá trị. Tính chất đối xứng này vẫn đúng cho các bit thấp hơn trong mỗi nửa của bảng mã, trong mỗi phần tư của bảng mã, v.v Cách gọi thông dụng hiện nay -mã Gray - được đặt theo tên của nhà nghiên cứu Frank Graylàm việc ở phòng thí nghiệm Bell. Gray đã dùng này trong hệ thống thông tin xung của ông, trong một bằng sáng chế xin cấp vào năm 1947 (được cấp vào năm 1953). Thực ra, Gray không phát minh ra này, trong bằng sáng chế của mình,ông ta chỉ trích dẫn gọi đó là “mã nhị phân phản xạ”. Lịch sử các ứng dụng thực tiễn nhị phân phản xạ đã được ứng dụng trong những câu đố toán học trước khi trở nên phổ biến trong lĩnh vực kỹ thuật. Kỹ người Pháp Émile Baudot đã dùng Gray trong hệ thống điện báo vào năm 1878. Ông ta đã được nhận huân chương Bắc đẩu bội tinh cho công trình này. Gray đôi khi bị gán nhầm là được đặt tên theo Elisha Gray, chẳng hạn trong một cuốn sách giáo khoa bàn về điều chế xung. 9 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học Frank Gray, nhà vật lý thuộc phòng thí nghiệm Bell, người nổi tiếng với việc phát minh ra phương pháp tín hiệu hoá được dùng cho tivi màu tương thích, đã phát minh một phương pháp để chuyển đổi tín hiệu tương tự sang những nhóm nhị phân phản xạ bằng cách dùng thiết bị dựa trên đèn chân không. . [3] . Phương pháp các thiết bị này được cấp bằng sáng chế năm 1953 kể từ đó Gray được lấy tên để đặt cho loại này. Loại thiết bị “đèn PCM” Gray mô tả trong bằng sáng chế của mình đã được chế tạo thực sự bởi Raymond W. Sears của phòng thí nghiệm Bell, cùng làm việc với Gray William M. Goodall, là người đã gợi ý cho Gray về việc dùng nhị phân phản xạ. Trong thời kỳ đó, Gray đã hết sức thích thú với việc dùng này để tối thiểu hóa sai số trong việc chuyển đổi từ tín hiệu tương tự sang tín hiệu số; cho đến tận bây giờ, mang tên ông vẫn còn được dùng với mục đích này cùng với một số mục đích khác nữa Để hóa một con số như 127, sau đó, người ta chỉ đơn giản là hóa của các chữ số thập phân như trên, đưa ra (0100, 0101, 1010). Ưu điểm chính của hóa XS-3 trên BCD hóa là một số thập phân có thể được nines 'bổ sung (cho phép trừ) là một cách dễ dàng như là một số nhị phân có thể là bổ sung , chỉ cần đảo ngược tất cả các bit. Ngoài ra, khi tổng của hai XS-3 chữ số lớn hơn 9, mang theo chút của một bộ cộng bốn bit sẽ được thiết lập cao. Điều này làm việc bởi vì, khi bổ sung thêm hai con số lớn hơn hoặc bằng số không, một giá trị "dư thừa" của sáu kết quả tiền. Kể từ khi bốn bit số nguyên chỉ có thể chứa giá trị 0 tới 15, vượt quá sáu cách bất kỳ một khoản hơn chín tràn ý chí. Thêm vượt quá 3 công trình trên một thuật toán khác nhau hơn so với hóa BCD hoặc các số nhị phân thường xuyên. Khi bạn thêm hai XS-3 con số với nhau, kết quả là không XS-số 3. Ví dụ, khi bạn thêm 1 0 trong XS-3 câu trả lời có vẻ là 4 thay vì của 1. Để khắc phục vấn đề này, khi bạn hoàn tất 10 [...]... 10 D3 00 01 11 10 1 1 X X 1 1 X 00 01 11 10 D2 00 00 01 11 10 1 D4 00 01 11 10 1 22 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử 01 11 10 00 01 11 10 1 1 1 1 1 1 1 X X X 1 X X X Đồ án môn học 1 1 1 1 X 1 3. 3 Kết quả đạt được tư nhóm các sơ đồ trên 3. 3.1 .Gray: G1= B1 G2= B1 + B2 G3= B2 + B3 G4= B3 + B4 3. 3.2 .Dư 3 T1= B1 + B2(B3+ B4) T2= B2 + (B3+B4) T3= B3B4 + B3B4 T4= B4 3 .4 Sơ đồ nguyên lí của mạch chuyển. .. D3,D4 sáng TH2:khởi tạo đầu vào từ nhị phân bằn tay 4 công tắc là 0001 ,với công tắc 4 lên ng nguồn các công tắc còn lại đều nối mass Khi đó: Chế độ chuyển từ B_G là 0001 lúc này G4 sáng Chế độ chuyển B_D là 0100 lúc này D2 sáng 25 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học TH3:khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc là 0010 với công tắc 3 lên ng nguồn các công tắc. .. Chế đọ chuyển từ B_G là 0011 lúc này G3 G4 sáng Chế độ chuyển từ B_D là 0101 lúc này D2 D4 sáng TH4: Khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc là 0011 với công tắc số 3 số 4 lên ng nguồn ,còn 2 công tắc còn lại xuống mass Khi đó: Chế độ chuyển từ B_G có đầu ra là 0010 lúc này G3 sáng Chế độ chuyển từ B_D có đầu ra là 0110 lúc này D2 D3 sáng TH5: Khởi tạo đầu vào từ nhị phân. .. D1 D4 sáng TH8: Khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc là 0111 với công tắc số 2 ,3 ,4 lên ng nguồn công tắc 1 xuống mass Khi đó: Chế độ chuyển từ B_G đầu ra có giá trị là 0100 lúc này đèn G2 sẽ sáng Chế độ chuyển từ B_D đầu ra có giá trị là 1010 lúc này đèn d1 D3 sẽ sáng TH9: Khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc là 1000 với công tắc 1 lên ng nguồn còn các công. .. từ nhị phân sang gray 3 23 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học 24 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học 3. 5 Sơ đồ board mạch 3. 6.Nguyên lí làm việc của mạch TH1: khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc là 0000, tất cả các công tắc đều nối mass Khi đó: Chế độ chuyển từ B_G là 0000 lúc này không đèn nào sáng Chế độ chuyển B_D la 0011 lúc này D3,D4... bằng tay 4 công tắc là 0100 với công tắc số 2 lên ng nguồn,các công tắc còn lại đều nối mass Khi đó: Chế độ chuyển từ B_G có đầu ra là 0110 lúc này G2 G3 đều sáng Chế độ chuyển từ B_D có đầu ra là 0111 lúc này 3 đèn D4, D3,D2, đều sáng TH6: Khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc à 0101 với công tắc số 2 số 4 lên ng nguồn,2 công tắc còn lại nối mass Khi đó: Chế đọ chuyển từ... giá trị là 0111 lúc này G2,G3,G4 đều sáng, Chế độ chuyển từ B_D đầu ra có giá trị là 1000 lúc này có D1 sáng TH7: Khởi tạo đầu vào từ nhị phân bằng tay 4 công tắc là 0110 với công tắc số 2 số 3 lên ng nguồn,1 ,4 xuống mass Khi đó: 26 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học Chế độ chuyển từ B_G đầu ra có giá trị là 0101 lúc này G2 G4 sẽ sáng Chế độ chuyển từ B_D đầu ra có giá... Điện –Điện tử Đồ án môn học 3. 2 Sơ đồ nhóm các trạng thái 3 2.1.Sơ đồ nhóm từ hệ nhị phân sang gray 00 01 11 10 00 01 1 1 1 1 00 01 11 10 1 1 00 01 1 1 11 10 1 1 1 1 21 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử 11 10 Đồ án môn học 1 1 G1 1 1 G2 00 01 00 01 11 10 00 01 11 10 11 10 1 1 00 01 1 1 1 1 1 1 1 1 1 1 1 G3 11 10 1 1 1 G4 3. 2.2 Sơ đồ nhóm chuyển từ hệ nhị phân sang 3 D1 00 01 11 10... (4. 8V-5.2V) Name-tên Input Ground Output 2.2 IC 748 6 Ic 2 đầu vào,ic 748 6 là ic tích hơp 4 hàm xor Đầu vào là 2 chân A B Đầu ra là chân Y Chân 7 nối mát Chân 14 với ng nguồn 13 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học 2 .3. IC 740 8 Đầu vào là 2 chân A B Đầu ra là chân Y Chân 7 nối mát Chân 14 với ng nguồn 14 Truờng ĐH SPKT Hưng Yên Khoa Điện –Điện tử Đồ án môn học 2 .4 IC 7 43 2... các công tắc khác xuống mass Khi đó: Chế độ chuyển từ B_G đầu ra có giá trị là 1100 lúc này G1 va G2 sáng Chế độ chuyển từ B_D đầu ra có giá trị là 1011 lúc này D1,D3,D4 sẽ sáng TH10: Khởi tạo đầu vào từ nhị phân băng tay 4 công tắc là 1001 với công tắc 1 4 lên ng nguồn,2 công tắc còn lại xuống mass Khi đó: Chế độ chuyển từ B_G đầu ra có giá trị là 1101 lúc này G1,G2,G4 sẽ sáng Chế độ chuyển . thuyết. 1. Giới thiệu về mã nhị phân ,gray, dư 3 2. mạch hạ áp 220ac về 5v dc Phần II . Phương án thiết kế. Thiết kế sơ đồ nguyên lý (mạch chuyển mã nhị phân 4 bit sang mã gray và dư 3) . Yêu cầu: + Sản. sử dụng rất phổ biến . Trải qua quá trình học tập nghiên cứu nhóm chúng em đã chọn đề tài :” thiết kế mạch mạch chuyển mã từ nhị phân sang gray và dư 3 sử dụng công tắc điều khiển làm đồ án. Chúng. tử Đồ án môn học BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN KHOA ĐIỆN-ĐIỆN TỬ    ĐỒ ÁN MÔN HỌC ĐỀ TÀI :THIẾT KẾ MẠCH CHUYỂN MÃ NHỊ PHÂN 4 BIT SANG MÃ GRAY VÀ DƯ 3

Ngày đăng: 27/06/2014, 01:20

Từ khóa liên quan

Mục lục

  • NHẬN XÉT CỦA GIÁO VIÊN.

  • MỤC LỤC

  • LỜI NÓI ĐẦU

  • CHƯƠNG I TỔNG QUAN ĐÈ TÀI

    • 1.1. Mã nhị phân,gray và dư 3.

      • 1.1.1. Mã nhị phân.

        • 1.1.1.1. Tổng quan

        • 1.1.1.2.Lịch sử của mã nhị phân

        • 1.1.2 Mã gray

          • 1.1.2.1. Mã nhị phân phản xạ

          • 1.1.2.2.Tên gọi

          • CHUƠNG 2 CÁC LINH KIỆN SỬ DỤNG TRONG MẠCH

            • 2.1.IC 7805

              • 2.1.1. Đặc điểm

              • 2.1.2. Cách đọc chân của họ 78xx

              • 2.1.3. Hình dạng thực tế

              • 2.2 IC 7486

              • 2.3.IC 7408

              • 2.4. IC 7432

              • 2.5 IC 7404

              • 2.6 Tụ điện.

              • 2.7. LED

                • 2.7.1.Tính chất.

                • CHƯƠNG 3.THIẾT KẾ VÀ THI CÔNG MẠCH.

                  • 3.1. Bảng trạng thái chân lí của mạch

                  • 3.2. Sơ đồ nhóm các trạng thái .

                    • 3. 2.1.Sơ đồ nhóm từ hệ nhị phân sang mã gray

                    • 3.3. Kết quả đạt được tư nhóm các sơ đồ trên.

                      • 3.3.1.Gray:

                      • G4= B3 + B4

Tài liệu cùng người dùng

Tài liệu liên quan