Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA

165 8 0
Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.Nghiên cứu phát triển chip cho hệ điều khiển tựa từ thông rotor động cơ xoay chiều ba pha trên nền tảng FPGA.

BỘ GIÁO DỤC VÀ ĐÀO TẠO ĐẠI HỌC BÁCH KHOA HÀ NỘI LÊ NAM DƯƠNG NGHIÊN CỨU PHÁT TRIỂN CHIP CHO HỆ ĐIỀU KHIỂN TỰA TỪ THÔNG ROTOR ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA LUẬN ÁN TIẾN SĨ KỸ THUẬT ĐIỀU KHIỂN VÀ TỰ ĐỘNG HÓA Hà Nội - 2023 BỘ GIÁO DỤC VÀ ĐÀO TẠO ĐẠI HỌC BÁCH KHOA HÀ NỘI LÊ NAM DƯƠNG NGHIÊN CỨU PHÁT TRIỂN CHIP CHO HỆ ĐIỀU KHIỂN TỰA TỪ THÔNG ROTOR ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA Ngành: Kỹ thuật điều khiển tự động hóa Mã số: 9520216 LUẬN ÁN TIẾN SĨ KỸ THUẬT ĐIỀU KHIỂN VÀ TỰ ĐỘNG HÓA NGƯỜI HƯỚNG DẪN KHOA HỌC PGS.TS Vũ Hoàng Phương PGS.TS Nguyễn Văn Liễn Hà Nội - 2023 LỜI CAM ĐOAN Tôi xin cam đoan cơng trình nghiên cứu cá nhân hướng dẫn tập thể hướng dẫn Tài liệu tham khảo luận án trích dẫn đầy đủ Các kết nghiên cứu luận án trung thực chưa tác giả khác công bố Hà Nội, ngày tháng năm 2023 Tập thể hướng dẫn khoa học Nghiên cứu sinh PGS.TS Vũ Hoàng Phương PGS.TS Nguyễn Văn Liễn Lê Nam Dương i LỜI CẢM ƠN Trải qua thời gian dài, với nhiều khó khăn thử thách mặt chuyên môn, kinh nghiệm nghiên cứu, nghiên cứu sinh hồn thành luận án Trong suốt q trình đó, tác giả ln nhận quan tâm, giúp đỡ, hỗ trợ vô lớn lao tập thể hướng dẫn, đơn vị chuyên môn đơn vị đào tạo đơn vị công tác, nhà khoa học, đồng nghiệp, anh chị em học nghiên cứu sinh Qua đây, tác giả muốn gửi lời cảm ơn chân thành trân trọng tới thầy PGS.TS Nguyễn Văn Liễn PGS.TS Vũ Hồng Phương, tập thể hướng dẫn có định hướng sâu sắc để nghiên cứu sinh không hồn thành luận án mà cịn trưởng thành tư tưởng thái độ vấn đề chuyên môn nghiên cứu khoa học Tác giả xin chân thành cảm ơn tới Thầy GS.TSKH Nguyễn Phùng Quang, PGS.TS Trần Trọng Minh, PGS.TS Nguyễn Quang Địch, cán Viện anh chị em NCS Viện Kỹ thuật Điều khiển & Tự động hóa cho nghiên cứu sinh nhiều đóng góp ý buổi cáo cáo chuyên môn định kỳ Viện Tác giả xin chân thành cảm ơn tới TS Đào Quý Thịnh, TS Giáp Văn Nam, thầy cô anh chị em NCS Khoa Tự động hóa, trường Điện – Điện tử động viên giúp đỡ nghiên cứu sinh trình nghiên cứu Tác giả xin cảm ơn đến PGS.TS Nguyễn Tùng Lâm, TS Nguyễn Danh Huy, Th.s Vũ Lê Minh, Th.s Lê Đức Thịnh, Th.s Tạ Thế Tài bạn sinh viên Nguyễn Thanh Thắng, Hoàng Nam Đàn thuộc Lab ME hỗ trợ NCS q trình thực mơ sửa chữa luận án Tác giả cảm ơn NCS Đỗ Tuấn Anh bạn sinh viên Đỗ Tùng Dương, Trần Văn Hùng, Nguyễn Văn Nghĩa, Bùi Đức Tâm thuộc Lab PE hỗ trợ NCS trình làm thực nghiệm lấy kết nghiên cứu Tác giả xin cảm ơn phòng ban Đại học Bách Khoa Hà Nội tạo điều kiện thuận lợi cho tác giả suốt trình thực đề tài luận án Tác giả xin cảm ơn đến Ban Giám hiệu Trường Đại học Quy Nhơn đồng ý chủ trương, tạo điều kiện cho nghiên cứu sinh học, cảm ơn đến Ban chủ nhiệm thầy cô đồng nghiệp Khoa Kỹ thuật Công Nghệ hỗ trợ để nghiên cứu sinh vừa hoàn thành nhiệm vụ chuyên môn vừa nghiên cứu luận án Cuối cùng, tác giả xin bày tỏ lịng biết ơn đến gia đình hai bên, ba mẹ, vợ hai con, người đồng hành, cảm thông động viên tác giả q trình hồn thành luận án Tác giả xin gửi lời cảm ơn đến anh chị em gia đình bạn bè, ln động viên hỗ trợ tác giả Lê Nam Dương ii Mục lục LỜI CAM ĐOAN i LỜI CẢM ƠN ii DANH MỤC CÁC TỪ VIẾT TẮT VÀ KÝ HIỆU v DANH MỤC HÌNH ẢNH, ĐỒ THỊ vii DANH MỤC BẢNG BIỂU xi MỞ ĐẦU 1 Tính cấp thiết đề tài Đối tượng phạm vi nghiên cứu Mục tiêu nghiên cứu Phương pháp nghiên cứu Những đóng góp luận án Ý nghĩa khoa học thực tiễn Bố cục nội dung luận án CHƯƠNG TỔNG QUAN VỀ THUẬT TOÁN ĐIỀU KHIỂN FOC CHO ĐỘNG CƠ XOAY CHIỀU BA PHA 1.1 Đặt vấn đề 1.2 Giải pháp thiết kế điều khiển dòng điện 1.2.1 Mơ hình tốn học động xoay chiều ba pha 1.2.2 Tóm tắt điều khiển mạch vòng dòng điện 12 1.3 Công nghệ DSP điều khiển động xoay chiều ba pha 20 1.4 Công nghệ FPGA điều khiển động xoay chiều ba pha 22 1.5 Định hướng nghiên cứu luận án 24 1.6 Kết luận chương 24 CHƯƠNG GIẢI PHÁP THIẾT KẾ BỘ ĐIỀU KHIỂN CHO ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA 25 2.1 Tổng quan FPGA 25 2.1.1 Cấu trúc chung FPGA 26 2.2 Thực chuẩn hóa liệu FPGA 31 2.2.1 Chuẩn hóa liệu ADC 31 2.2.2 Chuẩn hóa liệu 36 2.2.2 Chuẩn hóa liệu điều khiển dòng điện PI 36 2.3 Phương pháp thiết kế dựa FPGA 40 2.3.1 Đặc tả hệ thống sơ 42 2.3.2 Phát triển thuật toán 42 2.3.3 Phát triển kiến trúc dựa FPGA 44 iii 2.3.4 Thực nghiệm 47 2.4 Tổng kết chương 47 CHƯƠNG THIẾT KẾ CẤU TRÚC ĐIỀU KHIỂN CHO ĐỘNG CƠ XOAY CHIỀU BA PHA TRÊN NỀN TẢNG FPGA 48 3.1 Đặt vấn đề 48 3.2 Thiết kế khối dùng chung cho động xoay chiều ba pha dựa FPGA 49 3.2.1 Thiết kế điều khiển dòng điện PI 53 3.2.2 Thiết kế khâu đo dòng điện 56 3.2.3 Thiết kế khâu đo tốc độ 60 3.2.4 Thiết kế khâu chuyển hệ tọa độ 65 3.2.5 Thiết kế khâu phát xung SVM 68 3.3 Mơ hình thực nghiệm thời gian thực 74 3.3.1 Thiết bị Typhoon HIL 402 75 3.3.2 Triển khai Typhoon HIL 76 3.4 Thiết kế kết thực nghiệm cho động KĐB-RLS 80 3.4.1 Thiết kế mơ hình từ thơng 80 3.4.2 Kết thực nghiệm 82 3.5 Thiết kế kết thực nghiệm cho động đồng kích thích vĩnh cửu 89 3.6 Kết luận chương 96 CHƯƠNG 4: ĐIỀU KHIỂN KHÁNG NHIỄU CHO ĐỘNG CƠ ĐỒNG BỘ KÍCH THÍCH VĨNH CỬU 97 4.1 Các ảnh hưởng nhiễu lên động không đồng xoay chiều ba pha 97 4.2 Các phương pháp kháng nhiễu sử dụng cấu trúc điều khiển nâng cao 100 4.3 Thiết kế thuật toán kháng nhiễu phi tuyến cho động đồng kích thích vĩnh cửu 102 4.3.1 Mô hình hóa động PMSM 102 4.3.2 Thiết kế quan sát nhiễu phi tuyến đánh giá ổn định 104 4.4 Cấu trúc điều khiển mô 107 4.4.1 Cấu trúc điều khiển 107 4.4.2 Kết mô 108 4.3 Kết luận chương 115 KẾT LUẬN VÀ KIẾN NGHỊ 116 DANH MỤC CÁC CƠNG TRÌNH ĐÃ CƠNG BỐ CỦA LUẬN ÁN 117 TÀI LIỆU THAM KHẢO 118 PHỤ LỤC PL1 iv DANH MỤC CÁC TỪ VIẾT TẮT VÀ KÝ HIỆU Danh mục từ viết tắt Từ viết tắt Ý nghĩa tiếng anh Ý nghĩa tiếng việt ADC Analog-to-Digital Converter Bộ chuyển đổi tương tự - số Clk Clock Xung nhịp CPU Central Processing Unit Bộ xử lý trung tâm DSP Digital Signal Processor Xử lý tín hiệu số FSM Finite-State Machine Máy trạng thái hữu hạn FOC Field Oriented Control Điều khiển hướng trường FPGA Field Programmable Gate Array Mảng cổng lập trình trường ĐB-KTVC Đồng - kích thích vĩnh cửu ĐCXCBP Động xoay chiều ba pha HIL Hard ware in the loop simulation Mô thiết bị phần cứng IGBT Insulated Gate Bipolar Transistor Van bán dẫn IGBT IM Induction motor Động khơng đồng IP Intellectual Property Tài sản trí tuệ MHTT Mơ hình tính tốn từ thơng KĐB-RLS Khơng đồng -rotor lồng sóc KĐB Khơng đồng PMSM Pemanent Magnet Synchronous Motor Động đồng nam châm vĩnh cửu PI Proportional-Intergral Bộ điều khiển PI PWM Pulse Width Modulation Điều chế độ rộng xung SoC System on chip Hệ thống chip SVPWM Space vector Pulse Width Modulation Điều chế độ rộng xung vector không gian VHDL Verilog Hardware Description Language Ngôn ngữ mô tả phần cứng v Danh mục ký hiệu Ký Hiệu Đơn vị Ý Nghĩa Lsd mH Điện cảm pha stator trục d Lsq mH Điện cảm pha stator trục q Lm mH Hỗ cảm cuộn dây rotor với cuộn dây stator λm wb Từ thơng móc vòng từ trường rotor với stator λf wb Từ thơng rotor isd A Dịng điện stator trục d isq A Dòng điện stator trục q λsd wb Từ thông stator trục d λsq wb Từ thông stator trục q usd V Điện áp stator trục d usq V Điện áp stator trục q ω rad/s Tốc độ góc rotor θe rad Vị trí vector từ trường rotor isα A Dòng điện stator trục α isβ A Dòng điện stator trục β usα V Điện áp stator trục α usβ V Điện áp stator trục β Rs Ω Điện trở stator J1 , J2 Kg.m2 Mơmen qn tính động tải ψs , ψr Wb Vector từ thông stator rotor Wb Các thành phần vector từ thông stator rotor hệ tọa độ dq ψ sd ,ψ sq , ψ rd ,ψ rq zp Số cặp cực vi DANH MỤC HÌNH ẢNH, ĐỒ THỊ Hình 1.1 Sơ đồ cấu trúc ĐCKĐB-RLS điều khiển kiểu theo nguyên lý tựa theo từ thông rotor Hình 1.2 Sơ đồ cấu trúc ĐCĐB-KTVC điều khiển kiểu nguyên lý tựa theo từ thông rotor 11 Hình 1.3 Cấu trúc mạch vịng điều khiển dịng điện 14 Hình 1.4 Cấu trúc mạch vòng điều khiển tốc độ 15 Hình 1.5 Cấu trúc mạch vịng điều khiển từ thơng rotor 15 Hình 1.6 Cấu trúc điều khiển đan kênh điều khiển dòng điện 16 Hình 1.7 Cấu trúc điều khiển dòng stator isd 17 Hình 1.8 Cấu trúc điều khiển tốc độ quay 18 Hình 1.9 Mạch vịng tốc độ xét tới ảnh hưởng mômen tải 19 Hình 1.10 Cấu trúc hệ truyền động DSP 21 Hình 2.1 Cấu trúc chung FPGA 26 Hình 2.2 Khối CLB 27 Hình 2.3 Khối kết nối 27 Hình 2.4 Cấu trúc chung khối I/O 28 Hình 2.5 Cấu trúc vi xử lý bên kit FPGA Zybo Z7-20 họ Zynq-7000 [83] 29 Hình 2.6 Sơ đồ khối XADC 31 Hình 2.7 Các cổng vào XADC 33 Hình 2.8 Đồ thị chuyển đổi liệu chế độ đơn cực 35 Hình 2.9 Đồ thị chuyển đổi liệu chế độ lưỡng cực 35 Hình 2.10 Sơ đồ khối điều khiển PI 36 Hình 2.11 Sơ đồ khối điều khiển dòng điện PI 38 Hình 2.12 Quy trình xử lý tổng thể điều khiển dịng PI 39 Hình 2.13 Phương pháp thiết kế FPGA đề xuất 41 Hình 3.1 Sơ đồ khối mạch vòng dòng điện 48 Hình 3.2 Kiến trúc phân cấp module 49 Hình 3.3 Kiến trúc phần cứng điều khiển dòng điện 50 Hình 3.4 Thiết kế mạch vịng dịng điện sử dụng phương pháp xử lý song song 51 Hình 3.5 Trạng thái máy mơ tả mạch vòng dòng điện 52 Hình 3.6 Lưu đồ thuật tốn điều khiển PI tảng FPGA 55 Hình 3.7 Khối XADC phần mềm Vivado Design Suites 57 Hình 3.8 Lưu đồ thuật toán điều khiển khối XADC tách tín hiệu kênh đo 58 Hình 3.9 Lưu đồ thuật tốn tính dịng điện stator 59 vii Hình 3.10 Các khối thực đo dòng stator FPGA 60 Hình 3.11 Nhiễu động encoder 60 Hình 3.12 Trạng thái logic chuẩn kênh a b động quay 61 Hình 3.13 Lưu đồ thuật toán đọc encoder 64 Hình 3.14 Lưu đồ thuật toán khối chuyển hệ tọa độ abc sang dq 66 Hình 3.15 Lưu đồ thuật toán khối chuyển tọa độ dq sang αβ 67 Hình 3.16 Sáu sector hệ tọa độ αβ 68 Hình 3.17 Lưu đồ thuật toán khối chọn sector 69 Hình 3.18 Lưu đồ thuật toán khối duty cycle 70 Hình 3.19 Kết mơ khối tạo xung cưa Vivado 71 Hình 3.20 SVM thực tảng FPGA 71 Hình 3.21 Thuật tốn điều khiển dịng điện FPGA 72 Hình 3.22 Kết mô RTL cấu trúc điều khiển dịng điện 73 Hình 3.23 Typhoon HIL 402 75 Hình 3.24 Cấu hình mạch lực mơi trường Typhoon HIL 76 Hình 3.25 Cấu hình van điều khiển mạch van 77 Hình 3.26 Sơ đồ ghép nối HIL- FPGA 78 Hình 3.27 Sơ đồ nối dây FPGA Typhoon HIL 402 78 Hình 3.28 Hệ thống mơ HIL cho động xoay chiều ba pha FPGA 79 Hình 3.29 Lưu đồ thuật tốn thực khối mơ hình từ thơng 81 Hình 3.30 Chu thuật tốn FOC với tần số 100kHz 83 Hình 3.31 Thời gian thực thuật tốn FOC cho động KĐB-RLS 84 Hình 3.32 Dịng điện stator ωsp = 150( rad / s ) 85 Hình 3.33 Tốc độ động mômen động sinh ωsp = 150( rad / s ) 85 Hình 3.34 Dịng điện stator ωsp = 95( rad / s ) 85 Hình 3.35 Tốc độ động mômen động sinh ωsp = 95( rad / s ) 86 Hình 3.36 Dòng điện stator ωsp = 190 (rad / s) 86 Hình 3.37 Tốc độ động mơmen động sinh ωsp = 190( rad / s ) 86 Hình 3.38 Dịng điện stator ωsp = 150 (rad / s) 87 Hình 3.39 Tốc độ động mômen động sinh ωsp = 150( rad / s ) 87 Hình 3.40 Dịng điện stator ωsp = 30 (rad / s) 87 Hình 3.41 Tốc độ động mômen động sinh ωsp = 30( rad / s ) 87 Hình 3.42 Dịng điện stator ωsp = 190( rad / s ) 88 Hình 3.43 Dịng điện stator ωsp = 128( rad / s ) 88 Hình 3.44 Tốc độ động mômen động sinh ωsp = 128( rad / s ) 88 viii omega_temp_54bits:=omega_temp_27bits*to_signed(100531,omega' length); omega '0') ); end; architecture abc_to_dq_func of abc_to_dq is constant rev_sqrt3 : signed(17-1 downto 0) := to_signed(37837,17); constant two_third : signed (17-1 downto 0) := to_signed(43690,17); constant one_third : signed (17-1 downto 0) := to_signed(21845,17); signal wave_a_temp : signed(27-1 downto 0) := (others => '0'); signal wave_b_temp : signed(27-1 downto 0) := (others => '0'); PL13 signal wave_c_temp : signed(27-1 downto 0) := (others => '0'); signal Sin_k_1_temp : signed(17-1 downto 0) := (others => '0'); signal Cos_k_1_temp to_signed(65535,17); : signed(17-1 downto 0) := signal validchain : std_logic_vector (1 downto 0) := (others => '0'); signal init_temp : std_logic := '0'; signal flag : std_logic := '0'; begin process (clk,rst) begin if (clk'event and clk = '1') then if rst = '1' then validchain '0'); else validchain to_signed(-32768,27)) and (wave_d_temp_27bit < to_signed(32768,27))) then wave_d_temp_27bit := (others => '0'); end if; if ((wave_q_temp_27bit > to_signed(-32768,27)) and (wave_q_temp_27bit < to_signed(32768,27))) then wave_q_temp_27bit := (others => '0'); end if; wave_d '0') ); end; architecture Behavioral of dq_to_ab is signal wave_d_temp : signed(27-1 downto 0) := (others => '0'); signal wave_q_temp : signed(27-1 downto 0) := (others => '0'); signal Sin_k_temp : signed(17-1 downto 0) := (others => '0'); signal Cos_k_temp : signed(17-1 downto 0) := to_signed(65535,17); signal validchain : std_logic_vector (1 downto 0) := (others => '0'); signal flag : std_logic := '0'; begin process (clk,rst) begin if (clk'event and clk = '1') then if rst = '1' then validchain '0'); else PL17 validchain '0'); theta_k_1 : out std_logic_vector (27-1 downto 0) := (others => '0') PL19 ); end; architecture Flux_Model_func of Flux_Model is constant gain1 : signed (18-1 downto 0) := to_signed(7,18); T*Rr/Lr * 2^17 constant gain2 : signed (18-1 downto 0) := to_signed(131065,18); -(Lr-T*Rr)/Lr * 2^17 constant gain3 : signed (27-1 downto 0) := to_signed(344293,27); -Rr/Lr * 2^16 Rr = 0.976292; Lr = 0.1858366; T = 1/100000; signal isd_k : signed(27-1 downto 0) := (others => '0'); signal isq_k : signed(27-1 downto 0) := (others => '0'); signal omega_k : signed(27-1 downto 0) := (others => '0'); signal flag : std_logic := '0'; signal validchain : std_logic_vector(1 downto 0) := (others => '0'); begin process (clk,rst) begin if (clk'event and clk = '1') then if rst = '1' then validchain '0'); else validchain '0'); end if; sum1 := u_k_1 + I; u_k_temp := sum1 + P; if (u_k_temp >= Upper_limit_55bit) then u_k := Upper_limit_55bit; saturation

Ngày đăng: 09/11/2023, 10:42

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan