(TIỂU LUẬN) THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

96 37 0
(TIỂU LUẬN) THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

THỰC HÀNH THIẾT KẾ HỆ THỐNG SỐ VÀ VI MẠCH TÍCH HỢP Trương Ngọc Sơn Bộ Mơn Kỹ thuật Máy tính – Viễn Thơng Đại học Sư phạm Kỹ thuật TP.HCM HCMUTE - 2019 Thực hành thiết kế hệ thống số vi mạch tích hợp Trang LỜI NĨI ĐẦU Tài liệu thực hành môn học Thiết kế hệ thống số vi mạch tích hợp biên soạn phục vụ cho môn học thực hành Thiết kế hệ thống số vi mạch tích hợp, ngành Cơng nghệ Kỹ thuật Máy tính, Cơng nghệ Kỹ thuật Điện tử Viễn Thông, Hệ thống nhúng IoT Thời lượng thực hành 45 tiết Nội dung môn học bao gồm phần Phần 1:Thiết kế hệ thống số bao gồm thực hành thiết kế mạch tích hợp, mạch đồng bộ, giao tiếp điều khiển thiết bị ngoại vi sử dụng ngôn ngữ Verilog, mô phần mềm ISim thực thi hệ thống FPGA Xilinx Spartan-3E Phần 2: Thiết kế mạch thích hợp số bao gồm thực hành thiết kế vi mạch sử dụng CMOS cơng nghệ 0.13μ Samsung, mơ phỏng, phân thích thơng số mạch tích hợp Thiết kế mạch tích hợp, phân tích thơng số mạch tích hợp thực phần mềm thiết kế Candence Spectre công nghệ CMOS 0.13μm Samsung Thực hành thiết kế hệ thống số vi mạch tích hợp Trang MỤC LỤC Contents PHẦN I: THIẾT KẾ HỆ THỐNG SỐ CHƯƠNG GIỚI THIỆU Quy trình thiết kế hệ thống số với FPGA Sử dụng phần mềm thiết kế ISE 2.1 Cài đặt kích hoạt quyền 2.2 Thiết kế mạch cộng bit CHƯƠNG THIẾT KẾ MẠCH TỔ HỢP 2.1 Thiết kế mạch giải mã 2.2 Thiết kế mạch mã hóa đường sang đường 2.3 Thiết kế mạch đa hợp đường sang đường 2.4 Thiết kế mạch giải đa hợp đường sang đường CHƯƠNG THIẾT KẾ MẠCH TUẦN TỰ ĐỒNG BỘ 3.1 Giới thiệu 3.2 Mạch đếm (Counter) 3.3 Thiết kế mạch chia xung, sử dụng mạch đếm lên 3.3.1 Thiết kế mạch chia xung với ngõ vào 50Mhz, xung ngõ với tần số f, 2f, 4f, 8f, lựa chọn f ~ 1Hz 3.3.2 Thiết kế mạch tạo xung 1Hz 3.3.3 100Hz Thiết kế mạch tạo x 39 3.3.4 Thiết kế mạch đếm đồng bộ, sử dụng phương pháp cài đặt Flip – Flop Xung đếm 1Hz lấy từ mạch chia xung 3.3.5 Thiết kế mạch đếm lên bit bafi 3.3.4, sử dụng phương pháp thiết kế đồng 40 3.3.6 xuống Thiết kế mạch đếm lê 40 3.3.7 Thiết kế mạch đếm lên bit, lựa chọn tần số đếm khác nhau, lựa chọn đếm lên đếm xuống, có tính hiệu cho phép dừng đếm (Pause), có tín hiệu đảo trạng thái ngõ 3.4 Thanh ghi dịch (shift register) 3.4.1 Thiết kế ghi dịch bit vào nối tiếp nối tiếp hình Sử dụng cài đặt module FF-D 3.4.2 Thiết kế ghi dịch vao nối tiếp nối tiếp Sử dụng phương pháp thiết kế đồng 43 Thực hành thiết kế hệ thống số vi mạch tích hợp 3.4.3 flop D 45 Thiết kế mạch ghi dịch vào nối 3.4.4 đồng 45 Thiết kế mạch ghi dịch vào nối 3.4.5 phải Thiết kế mạch điều khiển LED 46 3.4.6 Thiết mạch điều khiển LED sáng sang trái lựa chọn switch 3.4.7 Thiết kế mạch điều khiển led ch 3.4.8 Thiết kế mạch điều khiển Led phải sang trái, có switch cho phép đảo trạng thái ngõ 3.4.9 Thiết kế mạch gồm led đơn, sw 3.5 Máy trạng thái (Finite state machine) 3.5.1 Thiết kế mơ hình máy trạng thái 3.5.2 Thiết kế mơ hình máy trạng thái 3.5.3 Chống dội phím nhấn (debouncin 3.6 Cơng tắc xoay (Rotary switch) 3.6.1 Thiết kế mạch đếm lên, đếm xu đếm 1hz 3.6.2 Thiết kế mạch đếm lên, đếm xu đếm tăng hay giảm điều khiển công tắc xoay 3.7 LCD 3.7.1 Giới thiệu 3.7.2 Điều khiển LCD hiển thị chuỗi ký 3.7.3 Điều khiển LCD hiển thị chuỗi 3.7.4 Thiết kế mạch đếm hiển thị giá 3.7.5 Thiết kế mạch điều khiển LCD 71 3.7.6 Thiết kế mạch điều khiển đền gia 3.7.7 Thiết kế mạch điều khiển đèn gia 3.7.8 Thiết kế mạch điều khiển đèn g hiển thị LCD PHẦN II THIẾT KẾ MẠCH TÍCH HỢP SỐ Giới thiệu Thiết kế mạch cổng đảo (inverter) sử dụng CMOS công nghệ Samsung 0.13μm 2.1 Thiết kế phân tích đặc tính cổng đảo 2.2 Ảnh hưởng thông số CMOS đ Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 3.Thiết kế mạch cổng NAND sử dụng CMOS cơng nghệ Samsung 0.13μm 3.1 Giải thích hoạt động cổng NAND sử dụng CM 90 3.2.Thiết kế mạch cổng NAND sử dụng CMOS 4.Thiết kế mạch cổng NOR sử dụng CMOS công nghệ Samsung 0.13μm 4.1.Giải thích hoạt động cổng NOR sử dụng CMOS 4.2.Thiết kế mạch cổng NOR sử dụng CMOS 5.Thiết kế mạch FLIP-FLIP sử dụng CMOS công nghệ Samsung 0.13μm 5.1.Giải thích hoạt động mạch Flip-Flop D 5.2.Thiết kế mạch Flip-Flop sử dụng CMOS Thực hành thiết kế hệ thống số vi mạch tích hợp Trang PHẦN I: THIẾT KẾ HỆ THỐNG SỐ CHƯƠNG GIỚI THIỆU Quy trình thiết kế hệ thống số với FPGA Quy trình thiết kế hệ thống số sử dụng vi mạch FPGA bao gồm bước mơ tả hình 1.1 Các bước thực phần mềm hỗ trợ thiết kế Các họ vi mạch FPGA khác sử dụng phần mềm hỗ trợ thiết kế khác Ví dụ vi mạch FPGA Xilinx sử dụng phần mềm Xilinx ISE Design Suite Vavido vi mạch FPGA Altera sử dụng phần mềm hỗ trợ thiết kế Quatus Các phần mềm thiết kế tích hợp phương pháp công nghệ để hỗ trợ thiết kế cho vi mạch FPGA nhà sản xuất Các FPGA có cấu trúc khác nhau, nhiên, việc thiết kế ngôn ngữ mô tả phần cứng (Verilog, VHDL) giống Trong tài liệu này, sinh viên hướng dẫn thực hành thiết kế hệ thống số vi mạch FPGA Xilinx, sử dụng phần mềm Xilinx ISE Design Suite Quy trình thiết kế hệ thống số với FPGA tóm tắt hình 1.1 Design Entry Functional Simulation Synthesis or Mapping Place and Route Simulation Xilinx Device Programming Hình Quy trình thiết kế FPGA Ý nghĩa bước quy trình thiết kế Desgin entry Functional simulation Synthesis or Mapping Place and Route Simulation (Static timing analysis, Thực hành thiết kế hệ thống số vi mạch tích hợp Trang Timing simulation, Power estimation) Xilinx Device Prograaming Sử dụng phần mềm thiết kế ISE Phần mềm thiết kế ISE Design Suite hỗ trợ thiết kế cho vi mạch FPGA nhà sản xuất Xilinx Phần mềm hỗ trợ bước quy trình thiết kế FPGA Trong tài liệu giới thiệu bước sử dụng phần mềm cho phép thực bước quy trình thiết kế từ nhập thiết kế, mơ thiết kế, tổng hợp thiết kế lập trình vi mạch FPGA Xilinx 2.1 Cài đặt kích hoạt quyền Sau cài đặt phần mềm ISE Design Suite, trình quản lý quyền tự động kích hoạt, u cầu người dùng lựa chọn hình thức kích hoạt quyền sau Nếu có quyền mua phần mềm, lựa chọn “Get My Purchased Licence” Trong trường hợp không mua quyền, Xilinx hỗ trợ quyền miễn phí, kích hoạt số tính cho phép thực thiết kế vi mạch FPGA Để sử dụng phần mềm với quyền miễn phí, lựa chọn “Get Free Vavido/ISE Webpack License.” Sau chọn Next, phần mềm liên kết đến trang chủ Xilinx Chúng ta tiến hành tạo tài khoản lựa chọn quyền phần mềm cần tải để tải máy Bản quyền cung cấp miễn phí dạng tập tin “Xilinx.lic” Sau tải Xilinx.lic máy, chọn Load licence tab Manage Licence để tải quyền vào phần mềm lúc thấy số chức phần mềm cấp quyền Một ý tải quyền miễn phí (Xilinx.lic), phần mềm tự động lấy địa thông số máy tính (Các thơng số card mạng), Thực hành thiết kế hệ thống số vi mạch tích hợp Trang tải quyền máy tính dùng quyền để kích hoạt phần mềm máy tính mà 2.2 Thiết kế mạch cộng bit Mạch cộng bit thiết kế theo mơ hình cấu trúc mơ hình hành vi Trong ví dụ này, thiết kế mơ hình mạch cộng bit sử dụng mơ hình cấu trúc (structural model) Mơ hình cấu trúc mạch cộng bit bao gồm mạch cộng toàn phần bit kết nối sau Trong mơ hình cấu trúc, mạch cộng bit có ngõ vào A 0-A3, ngõ vào B0-B3, ngõ vào giá trị cờ nhớ, ngõ giá trị cờ nhớ, ngõ giá trị tổng Mơ đun gọi mơ đun (Top module) Top model Thực hành thiết kế hệ thống số vi mạch tích hợp Trang Bên mơ đun mơ đun mạch cộng tồn phần bít thể hình bên Thiết kế mạch cộng bit tiến hành với bước sau: - Thiết kế mơ đun (top module) - Thiết mô đun mạch cộng bit - Thiết kế mơ đun tạo tín hiệu mơ - Thực gán tín hiệu I/O - Lập trình thiết bị FPGA  Tạo Project cho thiết kế Chọn File – New Project, đặt tên mô đun top Adder_4bits Sau chọn Next, xác định thông số cho mô đun Chú ý lựa chọn thơng số xác cho phần cứng, ngơn ngữ sử dụng trình mơ sau Thực hành thiết kế hệ thống số vi mạch tích hợp Trang  Thiết kế mơ đun cho mạch cộng bit Từ Menu Project, chọn New Source, chọn Verilog Module, đặt tên mơ đun hình bên Chọn Next, bước cho phép xác định tín hiệu vào mơ đun, khai báo tín hiệu thực sau Ví dụ, khai báo tín hiệu cho mạch cộng bit sau Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 78 Thư viện 113g_msrf chứa linh kiện công nghệ Samsung, chọn nMOS pMOS cách tìm mp (pMOS) mn (nMOS) Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 79 Đặt pMOS nMOS vào schematic thiết kế cổng đảo sử dụng pMOS nMOS Nguồn cung cấp thiết kế hình vẽ, nguồn lấy từ thư viện analogLib Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 80 Chọn nguồn cung cấp vdc = 1V 1.2 V cho CMOS công nghệ 0.13 Samsung Mặc định thông số nMOS pMOS thể hình Cơng nghệ 0.13μ, nMOS pMOS có kích thướt chiều dài nhỏ 0.13μ Chúng ta thay đổi chiều dài nhỏ 0.13μm Chiều rộng có kích thướt lớn chiều dài Tùy theo thiết kế, việc thay đổi kích thướt chiều rộng chiều dài dẫn đến kết khác Thơng thường, chiều rộng có kích thướt lớn chiều dài từ 10 đến 20 lần Tuy nhiên, tùy vào mục đích cụ thể mong muốn điện trở nội CMOS, điện dung, độ trể …., điều chỉnh kích thướt CMOS cho phù hợp Trong ứng dụng thơng thường Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 81 Body nMOS nối xuống GND body pMOS nối lên Vdd Trong số trường hợp, body pMOS nMOS nối với mức điện áp khác Vdd GND nhằm vào mộ số mục đích giảm dịng rị Để mơ đặc tính Inverter, cho điện áp ngõ vào tăng lên từ đến 1V ghi nhận điện áp ngõ Có cách thực tăng điện áp ngõ vào: (1) sử dụng nguồn điện áp DC với giá trị điện áp biến thay đổi, (2) sử dụng nguồn có điện áp tăng tuyến tính từ đến 1V Trong thực hành này, sử dụng nguồn dc có điện áp xem biến nhằm thay đổi từ đến V tiến hành phân tích DC Thiết lập giá trị cho nguồn ngõ vào cổng Inverter sau Chọn menu Tool – Analog Environment Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 82  Một số thiết lập giao diện Analog Design Environemt Lựa chọn model cho CMOS: Setup Model Library, Nhấn Brown lựa chọn đường dẫn Đường dẫn cho mơ hình CMOS công nghệ 0.13 Samsung sau Thêm biến vào cho ngõ vào, Chọn Edit variable bên công cụ bên phải, Chọn biến a thiết lập giá trị đầu Thực hành thiết kế hệ thống số vi mạch tích hợp  Chọn ngõ hiển thị Trang 83 Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 84 Click chọn trực tiếp sơ đồ nguyên lý, kết tín hiệu cần hiển thị mơ phognr liệt kê danh sách Thiết lập mô Phần mềm Cadence Spectre hỗ trợ nhiều chế độ mô khác nhau, trường hợp mô đặc tính cơng đảo, sử dụng chế độ mơ dc Thiết lập thơng số hình bên đế mơ dc tín hiệu, tín hiệu A thay đổi từ đến với bước thay đổi tuyến tính 0.05 Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 85 Thiết lập nghõ tín hiệu phân tích Chọn Session →Option, chọn AWD thay WaveScane Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 86 Sau thiết lập thông số cho mô phỏng, xác định mơ hình cho CMOS, tính hành phân tích DC mạch cổng đảo, chọn netlist and run Thực hành thiết kế hệ thống số vi mạch tích hợp  Kết q trình phân tích DC mạch cổng đảo Trang 87 Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 88 Từ kết phân tích ta thấy, ngõ vào tăng lên đến 0.33v, ngõ bắt đầu chuyển dần từ cao xuống thấp, vị trí ngõ vào 0.43V, ngõ giảm cịn ½ giá trị Vdd Phương pháp phân tích DC cho phép phân tích hoạt động mạch cổng đảo tìm điểm chuyển mạch cổng đảo đồ thị Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 89 2.2 Ảnh hưởng thông số CMOS đến điểm làm việc cổng đảo Thay đổi kích thướt nMOS pMOS, tìm điểm chuyển mạch (switching point) dựa vào phân tích DC nMOS (L/W (μ)) 0.13 / 0.16 0.13 /0.5 0.13/0.16 1/50 Siên viên rút kết luận từ kết mơ phỏng? Giải thích kế mơ mối liên hệ với sở lý thuyết? Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 90 Thiết kế mạch cổng NAND sử dụng CMOS cơng nghệ Samsung 0.13μm 3.1.Giải thích hoạt động cổng NAND sử dụng CMOS dựa bảng trạng thái Y A B 3.2.Thiết kế mạch cổng NAND sử dụng CMOS  Sử dụng nguồn vpulse để tạo tín hiệu logic cho ngõ vào  Sử dụng chế độ phân tích “tran” để kiểm tra logic mạch  Trình bày kết phân tích mạch Thiết kế mạch cổng NOR sử dụng CMOS công nghệ Samsung 0.13μm 4.1.Giải thích hoạt động cổng NOR sử dụng CMOS Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 91 A B Y 4.2.Thiết kế mạch cổng NOR sử dụng CMOS  Sử dụng nguồn vpulse để tạo tín hiệu logic cho ngõ vào, thực kiểm tra bảng trạng thái,  Sử dụng chế độ phân tích “tran” để kiểm tra logic mạch  Trình bày kết phân tích Thiết kế mạch FLIP-FLIP sử dụng CMOS công nghệ Samsung 0.13μm 5.1.Giải thích hoạt động mạch Flip-Flop D Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 92 5.2.Thiết kế mạch Flip-Flop sử dụng CMOS Thiết kế mạch Flip-Flop sử dụng CMOS sơ đồ nguyên lý Sử dụng cổng logic thiết kế trước  Sử dụng nguồn vpulse để tạo tín hiệu logic cho ngõ vào, thực kiểm tra bảng trạng thái,  Sử dụng chế độ phân tích trans, ac để kiểm tra logic mạch  Trình bày kết mô ... Configuration File Thực hành thiết kế hệ thống số vi mạch tích hợp Trang 30 Thực hành thiết kế hệ thống số vi mạch tích hợp CHƯƠNG THIẾT KẾ MẠCH TỔ HỢP 2.1 .Thiết kế mạch giải mã Thiết kế mạch giải mã.. .Thực hành thiết kế hệ thống số vi mạch tích hợp Trang LỜI NĨI ĐẦU Tài liệu thực hành môn học Thiết kế hệ thống số vi mạch tích hợp biên soạn phục vụ cho mơn học thực hành Thiết kế hệ thống số. .. nạp vào PROM Thực hành thiết kế hệ thống số vi mạch tích hợp Chọn Cancel (2 lần) để bỏ qua bước Trang 27 Thực hành thiết kế hệ thống số vi mạch tích hợp Tại bước lựa chọn lập trình trực tiếp vào

Ngày đăng: 14/12/2022, 10:25

Tài liệu cùng người dùng

Tài liệu liên quan