THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

96 13 0
THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Ngày đăng: 15/05/2022, 11:12

Hình ảnh liên quan

Bên trong mô đun chính là các mô đun mạch cộng toàn phầ n1 bít được thể hiện như hình bên dưới - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

n.

trong mô đun chính là các mô đun mạch cộng toàn phầ n1 bít được thể hiện như hình bên dưới Xem tại trang 9 của tài liệu.
Từ Menu Project, chọn New Source, chọn Verilog Module, đặt tên mô đun như hình bên dưới - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

enu.

Project, chọn New Source, chọn Verilog Module, đặt tên mô đun như hình bên dưới Xem tại trang 10 của tài liệu.
 Cấu hình chân và lập trình thiết bị - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân và lập trình thiết bị Xem tại trang 19 của tài liệu.
Các cấu hình trong tập tin .ucf có thể được viết kết hợp lại như sau  # PlanAhead Generated IO constraints - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

c.

cấu hình trong tập tin .ucf có thể được viết kết hợp lại như sau # PlanAhead Generated IO constraints Xem tại trang 23 của tài liệu.
Quá trình tạo ra tập tin cấu hình cho FPGA thành công, chương trình sẽ tạo ra tập tin nhị phân (.bit) - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

á trình tạo ra tập tin cấu hình cho FPGA thành công, chương trình sẽ tạo ra tập tin nhị phân (.bit) Xem tại trang 24 của tài liệu.
 Cấu hình chân và lập trình FPGA. - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân và lập trình FPGA Xem tại trang 33 của tài liệu.
Cấu hình chân cho FPGA - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân cho FPGA Xem tại trang 34 của tài liệu.
Hình 3.2. Sơ đồi khối tổng quát mạch tuần tự - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

Hình 3.2..

Sơ đồi khối tổng quát mạch tuần tự Xem tại trang 36 của tài liệu.
Hình 3.1. Mô hình thiết kế mạch tuần tự đồng bộ Hình 3.1 mô tả sơ đồ khối của một mạch tuần tự - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

Hình 3.1..

Mô hình thiết kế mạch tuần tự đồng bộ Hình 3.1 mô tả sơ đồ khối của một mạch tuần tự Xem tại trang 36 của tài liệu.
 Cấu hình chân và lập trình FPGA, kiểm tra chức năng mạch đếm Mạch đếm nhị phân 4 bit - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân và lập trình FPGA, kiểm tra chức năng mạch đếm Mạch đếm nhị phân 4 bit Xem tại trang 37 của tài liệu.
Cấu hình chân cho FPGA - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân cho FPGA Xem tại trang 38 của tài liệu.
Cấu hình chân FPGA - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân FPGA Xem tại trang 40 của tài liệu.
Cấu hình chân FPGA - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân FPGA Xem tại trang 41 của tài liệu.
Cấu hình chân FPGA - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

u.

hình chân FPGA Xem tại trang 42 của tài liệu.
3.3.4. Thiết kế mạch đếm đồng bộ, sử dụng phương pháp cài đặt các Flip – Flop. Xung đếm 1Hz được lấy từ mạch chia xung - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

3.3.4..

Thiết kế mạch đếm đồng bộ, sử dụng phương pháp cài đặt các Flip – Flop. Xung đếm 1Hz được lấy từ mạch chia xung Xem tại trang 42 của tài liệu.
Thiết kế mạch đếm như hình vẽ, mỗi mô đun được thiết kế với 1 tập mã nguồn (.v) khác nhau. - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

hi.

ết kế mạch đếm như hình vẽ, mỗi mô đun được thiết kế với 1 tập mã nguồn (.v) khác nhau Xem tại trang 43 của tài liệu.
3.4.1. Thiết kế thanh ghi dịch 4bit vào nối tiếp ra nối tiếp như hình 3. Sử dụng cài đặt các module FF-Dmodule FF-D - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

3.4.1..

Thiết kế thanh ghi dịch 4bit vào nối tiếp ra nối tiếp như hình 3. Sử dụng cài đặt các module FF-Dmodule FF-D Xem tại trang 45 của tài liệu.
3.5.1. Thiết kế mô hình máy trạng thái 1 - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

3.5.1..

Thiết kế mô hình máy trạng thái 1 Xem tại trang 51 của tài liệu.
3.5.2. Thiết kế mô hình máy trạng thái 2 - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

3.5.2..

Thiết kế mô hình máy trạng thái 2 Xem tại trang 52 của tài liệu.
Thiết kế mô hình đọc phím nhấn có chống dội sử dụng mô hình máy trạng thái như sau: - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

hi.

ết kế mô hình đọc phím nhấn có chống dội sử dụng mô hình máy trạng thái như sau: Xem tại trang 53 của tài liệu.
3.5.3. Chống dội phím nhấn (debouncing circuit) - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

3.5.3..

Chống dội phím nhấn (debouncing circuit) Xem tại trang 53 của tài liệu.
 Bảng mã ASCII - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

Bảng m.

ã ASCII Xem tại trang 62 của tài liệu.
 Các bước cấu hình và điều khiển LCD - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

c.

bước cấu hình và điều khiển LCD Xem tại trang 63 của tài liệu.
Sau khi thiết lập các thông số cho mô phỏng, xác định mô hình cho CMOS, có thể tính hành phân tích DC mạch cổng đảo, chọn netlist and run - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

au.

khi thiết lập các thông số cho mô phỏng, xác định mô hình cho CMOS, có thể tính hành phân tích DC mạch cổng đảo, chọn netlist and run Xem tại trang 90 của tài liệu.
3.1.Giải thích hoạt động của cổng NAND sử dụng CMOS dựa trên bảng trạng thái - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

3.1..

Giải thích hoạt động của cổng NAND sử dụng CMOS dựa trên bảng trạng thái Xem tại trang 94 của tài liệu.
 Sử dụng nguồn vpulse để tạo tín hiệu logic cho ngõ vào, thực hiện kiểm tra bảng trạng thái, Sử dụng chế độ phân tích “tran” để kiểm tra logic của mạch. - THỰC HÀNH THIẾT kế hệ THỐNG số và VI MẠCH TÍCH hợp

d.

ụng nguồn vpulse để tạo tín hiệu logic cho ngõ vào, thực hiện kiểm tra bảng trạng thái, Sử dụng chế độ phân tích “tran” để kiểm tra logic của mạch Xem tại trang 95 của tài liệu.