1. Trang chủ
  2. » Giáo án - Bài giảng

Giáo trình thí nghiệm kỹ thuật số IUH

69 7 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

TRƯƠNG NĂNG TOÀN (chủ biên) BÙI THƯ CAO NGUYỄN THANH HẢI GIÁO TRÌNH THÍ NGHIỆM KỸ THUẬT SÓ NHÀ XUẤT BẢN ĐẠI HỌC CÔNG NGHIỆP THÀNH PHỐ HÔ CHÍ MINH TRƯƠNG NĂNG TOÀN BÙI THƯ CAO NGUYỄN THANH HẢI Giáo trì.

TRƯƠNG NĂNG TOÀN (chủ biên) BÙI THƯ CAO - NGUYỄN THANH HẢI GIÁO TRÌNH THÍ NGHIỆM KỸ THUẬT SĨ NHÀ XUẤT BẢN ĐẠI HỌC CƠNG NGHIỆP THÀNH PHỐ HƠ CHÍ MINH TRƯƠNG NĂNG TOÀN - BÙI THƯ CAO NGUYỄN THANH HẢI Giáo trình THÍ NGHIỆM KỸ THUẬT SỐ TRUỒNG ĐẠI HỘC CỔNG NGHIỆP TP.HCM MẲ VẠCH NHÀ XUẤT BẢN ĐẠI HỌC CƠNG NGHIỆP THÀNH PHỐ HỊ CHÍ MINH - 2015 LỜI NÓI ĐÀƯ Việc ứng dụng khoa học kỹ thuật vào đời sống mang lại nhiều lợi ích góp phần thúc đẩy phát triổn kinh tế đất nước Cùng với phát triển nhiều ngành nghề, phát triển ngành kỹ thuật điện tử góp phần lớn vào phát triển chung Các thiết bị diện tử dã dang ứng dụng rộng rãi với nhiều sản phẩm công nghệ đại, việc nghiên cứu chế tạo thiết bị phần lớn dựa sở nguyên lý số Đẻ đáp ứng nhu cầu hiểu biết nắm vững kiến thức Kỹ thuật số, tác giả dã cố gắng biên soạn “ Giáo trình THÍ NGHIỆM KỸ THUẬT SỐ ” nhằm truyền tải kiến thức số tảng đen với bạn sinh viên tất người học tập, nghiên cứu lĩnh vực điện tử Trên sở kiến thức số kinh điển, tác giả cố gang chọn lọc biên soạn nội dung theo trình tự kiến thức từ đến nâng cao, bao gồm sau: Bài 1: CỒNG LOGIC Bài 2: MẠCH TỐ HỢP Bài 3: MẠCH ĐẾM VÀ THANH GHI DỊCH Bài 4: Bộ CHUYẾN ĐÔI DAC - ADC Bài 5: THIẾT KẾ VÀ LẮP RÁP CÁC MẠCH ÚNG DỤNG Giáo trình dùng làm Tài liệu tham khảo thức cho sinh viên hệ Cao đẳng Đại học ngành điện - điện tử Tác giả chân thành cảm ơn dến Thầy Cô bạn đồng nghiệp có ý kiến dóng góp bổ sung cho việc hồn thành giáo trình Tác giả MỤC LỤC LỜI NÓI ĐẦU 1.1 BÀI 1: CỔNG LOGIC TỐNG QUAN 1.1.1 Mức Logic (Logic Level) 1.1.2 Các tham số dòng điện điện áp vi mạch logic 1.1.3 Giới hạn nhiễu (Noise Margin) 1.1.4 Hệ số tải (Fan out) .5 1.1.5 Đơn vị tải (Unit Loads) .7 1.1.6 Trễ truyền đạt (Propagation Delay) 1.1.7 Công suất (Power) 1.2 CÁC HỌ LOGIC 10 1.2.1 Họ DDL (Diode Diode Logic) 10 1.2.2 Họ RTL (Resistor Transistor Logic) .10 1.2.3 Họ DTL (Diode Transistor Logic) 11 1.2.4 Họ TTL (Transistor Transistor Logic) .11 1.2.5 Cổng logic với ngõ Collector để hở (OC - Open Collector) .13 1.2.6 Cong logic với ngõ trạng thái 16 1.2.7 Cổng logic Schmitt-Trigger 18 1.2.8 Họ MOS CMOS (Complementary Metal Oxide Semiconductor) 20 1.3 GIAO TIẾP HỌ TTL - CMOS 25 1.3.1 Tóm tắt điểm cần lưu ý sử dụng IC họ TTL CMOS 25 ỉ.3.1.1 Nguồn cấp 25 ỉ.3.1.2 Điện áp mức logic 25 1.3 ỉ.3 Ngõ vào đê hở 26 1.3.2 Các phương thức kết nối TTL CMOS 26 ỉ.3.2.1 Trường hợp TTL tải CMOS 27 1.3.2.2 Trường họp CMOS tải TTL .29 1.4 CÁC BÀI THỰC HÀNH 30 1.4.2 Khảo sát chức cống NOT .30 1.4.2.1 Khảo sát kiểm tra chức IC 74LS04 31 1.4.2.2 ỉ.2 Khảo sát kiểm tra chức IC 74LSỈ4 (Schmitt-Trỉgger 32 1.4.2.3 Nhận xét đánh giá kết 32 1.4.3 Khảo sát chức cổng OR 33 1.4.4 ỉ Khảo sát kiểm tra chức IC 74LS32 34 1.1.1.2 Nhận xét đánh giá kết 35 1.4.3 Khảo sát chức cổng AND .35 ỉ.4.3.1 Khảo sát kiểm tra chức nâng ỈC 74LS08 .37 ỉ.4.3.2 Nhận xét đánh giá kết 38 1.4.4 Khảo sát chức cổng NOR 38 1.4.4.1 Khảo sát kiểm trạ chức IC 74LS02 ; 39 1.4.4.2 Tạo cổng NOR từ cổng OR NOT 40 ỉ 4.4.3 Nhận xét đánh giá kết .41 1.4.5 Khảo sát chức cổng NAND 41 1.4.5.1 Khảo sát vù kiểm tra chức IC 74LS00 .42 ỉ.4.5.2 Khảo sát kiểm tra chức IC CD401 ỉ 43 1.4.5.3 Tạo cổng NAND từ cổng AND NOT 44 1.4.5.4 Nhận xét đánh giá kết .44 1.4.6 Khảo sát chức cổng EX-OR 45 ỉ.4.6.1 Khảo sát kiểm tra chức nâng IC 74LS86 46 ỉ.4.6.2 Nhận xét đánh giả kết 47 1.4.7 Khảo sát chức cổng EX-NOR .47 Ỉ.4.7.Ỉ Khảo sát kiểm tra chức IC 74LS8Ỉ0 48 1.4.7.2 Tạo cổng NAND từ cổng EX-OR NOT 49 1.4.7.3 Nhận xét đánh giá kết .49 1.4.8 Khảo sát chức cổng đệm .50 ỉ.4.8.1 Khảo sát kiểm tra chức IC 74LS07 51 1.4.8.2 Nhận xét đánh giá kết .51 1.5 CÁC ỬNG DỤNG CÓNG LOGIC 52 1.5.1 Mạch đèn báo có xe ngã tư .52 1.5.2 Mạch điều khiển chọn nút nhấn ưu tiên 55 1.5.3 Mạch đèn cảnh báo an tồn tô 57 2.1 BÀI 2: MẠCH TỐ HỌP CÁC BÀI THỰC HÀNH 59 2.1.1 Chuấn bị linh kiện thiết bị thực hành .59 2.1.2 Bài thực hành với IC giải mã (Decoder) 60 2.1.2.1 .ỉ Khảo sát kiểm tra chửc IC giải mã 74LS138 60 2.1.2.2 Khảo sát kiếm tra chức IC giải mã 74LS47-74LS247 63 2.1.2.3 Cấu tạoLed đoạn .64 2.1.2.4 Khảo sát kiểm tra chức IC giải mã CD4543 66 2.1.3 Bài thực hành với 1C mã hóa (Encoder) .68 2.1.3.1 Khảo sát kiểm tra chức IC mã hóa 74LSỈ47 68 2.1.3.2 Khảo sát kiểm tra chức IC mã hóa 74LSỈ48 70 2.1.4 Bài thực hành với IC đa hợp (Multiplexer) 73 2.1.4.1 Kháo sát kiểm tra chức nấng ỈC đa hợp 74LS251 73 2.1.4.2 Khảo sát kiểm tra chức nâng IC đa hợp 74LSỈ53 .75 2.1.4.3 Khảo sát kiếm tra chức nấng IC đa họp 74LSI57 .78 in MUC LUC 2.2 3.1 2.1.5 Bài thực hành với IC giải đa hợp (Demultiplexer) 80 2.1.5.1 Khảo sát kiêm tra chức 1C giải đa họp 74LS155 .80 2.1.5.2 Khảo sát kiêm tra chức ỈC giải đa hợp-đa hợp CD4051 82 2.1.6 Bài thực hành với 1C so sánh độ lớn hai số nhị phân 4bit .86 CÁC ỨNG DỤNG MẠCH TÓ HỌP 89 2.2.1 .Mạch kiếm tra thiết bị điện văn phòng tòa nhà cao tầng 89 2.2.2 Mạch kiểm tra an ninh khu vực từ xa 91 BÀI 3: MẠCH ĐẾM VÀ THANH GHI DỊCH CÁC BÀI THỰC HANH 94 3.1.1 Chuẩn bị linh kiện thiết bị thực hành 94 3.1.2 .Bài thực hành với Flip Flop 95 3.1.2.1 Khảo sát kiêm tra chức IC Flip Flop D 95 3.1.2.2 Khảo sát kiểm tra chúc nắng ỈC Flip Flop JK 98 3.1.3 Bài thực hành với mạch đếm sử dụng Flip Flop 103 3.1.3 ỉ Khảo sát mạch đếm nhị phân sử dụng Flip Flop 103 3.1.4 Khảo sát mạch đếm MOD M < 2N sử dụng Flip Flop .110 3.1.4 Bài thực hành với IC mạch đếm 112 3.1.5 ỉ Khảo sát kiêm tra chức IC đếm thập phân 74LS90 112 3.1.6.ỉ 4.2 Khảo sát kiểm tra chức IC đếm thập phân CD4510 120 3.1.7 Khảo sát kiêm tra chức IC dem thập phân 74LS190 .124 3.1.8 Khảo sát kiêm tra chức ỈC dếm thập phân 74LS192 .127 3.1.9.ỉ 4.5 Kháo sát kiêm tra chức 1C đếm nhị phân 4hit 74LSỈ63 130 3.1.10 Khảo sát kiêm tra chức IC đếm nhị phân 4bỉt 74LSỈ93 132 3.1.11 Khảo sát kiểm tra chức 1C đếm nhị phân CD4040 132 3.1.12 ỉ 4.8 Khảo sát kiêm tra chứcnăng ỈC đếm nhị phân CD4060 135 3.1.13 Khảo sát kiêm tra chức IC đếm vòng CD4017 137 3.1.5 Bài thực hành với mạch ghi dịch (thanh ghi dịch) 142 3.1.5.1 Khảo sát mạch ghi dịch sử dụng Flip Flop 142 3.1.5.2 Khảo sát kiêm tra chức ỈC ghi dịch 74LS164 143 3.1.5.3 ỉ.5.3 Khảo sát kiểm tra chức 1C ghi dịch 74LS166 147 3.1.5.4 Khảo sát kiểm tra chức IC ghi dịch 74LS595 6B595 149 3.1.6 Bài thực 3.2 hành với mạch chốt liệu 153 ỉ.6.1 Khảo sát kiểm tra chức IC chốt 74LS373 74LS374 153 ỉ.6.2 Khảo sát kiểm tra chức IC chôt 74LS573 155 CÁC ỨNG DỤNG MẠCH ĐẾM VÀ THANH GHI DỊCH 156 3.2.1 Mạch định thời có hiển thị thời gian đặt trước 156 3.2.2 Mạch đếm lên đếm xuống BCD hiển thị trôn LED đoạn 158 Mực LỰC BÀI 4: Bộ CHUYỂN ĐÓI DAC-ADC 4.1 Bộ CHƯYẺN ĐỐI DAC 161 4.2 Bộ CHUYỂN ĐÓI ADC 165 4.3 CÁC BÀI THựC HÀNH 167 4.3.1 Khảo sát kiểm tra chức IC DAC0808 167 4.3.2 Khảo sát kiểm tra chức IC ADC0804 .169 4.4 CÁC ỦNG DỤNG BỘ CHUYỂN ĐỔI DAC - ADC 172 4.4.1 Mạch điều khiển tốc độ động DC 172 4.4.2 Mạch điều khiển nhiệt độ .174 BÀI 5: THIÉT KÉ VÀ LẤP RÁP CÁC MẠCH ỨNG DỤNG 5.1 MỘT SĨ MẠCH TẠO XUNG THƠNG DỤNG 180 5.1.1 Mạch dao động đa hài 180 5.1.2 Mạch tạo xung đơn ổn 181 5.2 CÁC BƯỚC THIẾT KÉ MẠCH SỐ 182 5.3 THIẾT KÉ VÀ LẤP RÁP CÁC MẠCH ỨNG DỤNG 185 5.3.1 Thiết kế mạch diều khiển đèn LED .185 5.3.1.1 Thiết kế mạch điền khiển 20 đèn sáng đuổi từ Trải qua Phải 185 5.3.1.2 Thiết kế mạch điều khiển 10 đèn sáng lan giảm độ sáng .188 5.3.1.3 Thiết kế mạch điều khiển 16 đèn sáng lan giảm độ sáng .191 5.3.1.4 ỉ Thiết kế mạch điều sáng 194 khiên đèn sáng lan - đổi chiều, giảm độ 5.3.1.5 Thiết kế mạch điều khiển đèn sảng lan - tắt lan đẻi chiều 197 5.3.1.6 Thiết kế mạch điều khiên đèn sáng dồn từ Trải qua Phải 201 5.3.2 Thiết kế mạch định thời 204 5.3.2.1 Thiết kế mạch định thời với thời gian đặt hiển thị so .204 5.3.2.2 Thiết kế mạch định thời với thời gian cài đặt bang công tắc 208 5.3.2.3 Thiết kế mạch định thời với thời gian đặt lù 12 210 5.3.3 Thiết kế mạch đồng hồ số 213 5.3.4 Thiết kế mạch đếm xe vào .218 TÀI LIỆU THAM KHẢO BÀI 1: CỐNG LOGIC - MỤC TIÊU Bài thí nghiệm giúp sinh viên củng cổ kiến thức có kỹ sau: - Nắm vững khái niệm cổng logic, phép tốn hàm logic, thơng số dòng điện - điện áp hệ số tải - đơn vị tải vi mạch logic - Phân biệt điểm khác họ logic, phân tích đặc tính ưu điếm nồi bật họ logic, đặc biệt hai họ TTL CMOS - Trình bày phân tích phương thức giao tiếp hai họ TTL CMOS - Thực bước thiết kế phương pháp tối ưu mạch số sử dụng cổng logic - Phân tích, kiểm tra sửa chữa hư hỏng mạch số sứ dụng cổng logic - Trình bày cấu tạo nguyên tắc hoạt động IC cổng logic, từ vận dụng vào việc thiết kế mạch điều khiển số tổng hợp theo yêu cầu ứng dụng 1.4.5.3 Tạo cổng NAND từ cổng AND NOT Hình 1.49 Sơ đồ kết nối tạo cổng NAND mô hình ❖ Bật cơng tắc cấp nguồn cho module D1.1D D1.1A ❖ Dùng jack cắm kết nối hình vẽ ghi lại kết Cổng A Cổng B -— -Cong c SW3 SW4 OFF OFF OFF ON ON OFF ON ON ❖ So LED4 - SW3 SW4 OFF OFF OFF ON ON OFF ON ON LED4 - SW3 SW4 OFF OFF OFF ON ON OFF ON ON LED4 - theo bảng sau: Cổng D SW3 SW4 OFF OFF OFF ON ON OFF ON ON LED4 - sánh kết với bảng giá trị cổng NAND ngõ vào nhận xét 1.4.5.4 Nhận xét đảnh giá kết ❖ Sau thực xong sinh viên ghi lại kết theo bảng, so sánh kết với lý thuyết nhận xét ❖ Tiếp theo, sinh viên thực lại bước IC cồng NAND loại ngõ để hở cực collector, ngõ trạng thái khối testboard Nhận xét kết thu Thực giao tiếp cồng IC họ TTL CMOS IC với testboard đo thơng số dịng điện, điện áp ngõ vào/ra Nhận xét kết thu 1.4.6 Khảo sát chức cổng EX-OR (EXCLƯSIVE-OR) Mạch điện cổng EX-OR tạo nhằm để thực chức hàm: X=B +AB = AâB ã Kớ hiu cng EX-ORhai ngừ vào: )) Bảng thật: • Sơ đồ chân IC cổng EX-OR ngõ vào họ TTL 74LS86 - CMOS CD4070: CD4070 74LS86 Hình 1,50 Sơ đồ chân IC cổng EX-OR • Chuẩn bị linh kiện thiết bị thực hành: J Các jack cắm mô hình thực hành J VOM dây cắm Testboard J Linh kiện: Các IC cồng EX-OR 74LS86, CD4070 J Các linh kiện khác: điện trở, tụ điện, transistor, LED đơn, LED đoạn, • Thực hành: J Bật cơng tắc nguồn kiểm tra nguồn mơ hình thực hành (AC=220V) J Cấp nguồn cho Module mà cần thực (VDD=5V VDD=12V) J Kiềm tra jack cắm chuẩn bị thực hành mơ hình 1.4.6 ỉ Khảo sát kiểm tra chức IC 74LS86 Hình 1.51 Sơ đồ kết nối cẩng EX-OR mơ hình Bật cơng tắc cấp nguồn cho module Dl 1F ❖ Dùng jack cắm kết nối: (SW1) với ngõ vào (Bl), (SW2) với ngõ vào (Al), (LED1) với ngõ (Yl) cổng A Sau kết nối tương tự cho cổng EX-OR cịn lại thực theo bảng sau: ❖ Cổng A SW1 SW2 OFF OFF OFF ON ON OFF ON ❖ ON Cổng B LED1 - SW3 SW4 OFF OFF OFF ON ON OFF ON ON Cổng c LED2 - SW5 SW6 OFF OFF OFF ON ON OFF ON ON Cống D LED3 - SW7 SW8 OFF OFF OFF ON ON OFF "ON” ON So sánh kết với bảng thật cồng EX-OR ngõ vào nhận xét LED4 - 1.4.6.2 Nhận xét đảnh giá kết ❖ Sau thực xong sinh viên ghi lại kết theo bảng, so sánh kết với lý thuyết nhận xét ❖ Tiếp theo, sinh viên thực lại bước IC cống NAND loại CMOS, loại ngõ để hở cực collector, ngõ trạng thái khối testboard Nhận xét kết thu ❖ Thực giao tiếp cống cúa IC họ TTL CMOS IC với testboard đo thơng số dịng điện, điện áp ngõ vào/ra Nhận xét kết thu 1.4.7 Khảo sát chức cồng EX-NOR (EXCLƯSIVE-NOR) Mạch điện cồng EX-NOR tạo nhằm để thực chc nng cỳa hm: X=AB+AB=AđB ã Kớ hiu cng EX-NOR hai ngõ vào: • Bảng thật: • Sơ đồ chân IC cổng EX-NOR ngõ vào họ TTL 74LS810 - CMOS CD4077: Hình 1.52 Sơ đồ chân 1C cổng EX-NOR • Chuẩn bị linh kiện thiết bị.thực hành: J Các jack cắm mơ hình thực hành J VOM dây cam testboard J Linh kiện: Các IC cổng EX-NOR 74LS810, 74LS266 (OC), CD4077 s Các linh kiện khác: điện trở, tụ điện, transistor, LED đon, LED đoạn, • Thực hành: J Bật công tắc nguồn kiểm tra nguồn mô hình thực hành (AC=220V) S Cấp nguồn cho Module mà cần thực (VCC=5V) s Kiềm tra jack cắm chuẩn bị thực hành mô hình 1.4.7.1 Khảo sát kiểm tra chức IC 74LS810 Sinh viên lắp ráp IC 74LS810 lên khối testboard mơ hình khảo sát hoạt động cổng IC mạch điện sau: Hình ỉ 53 Sơ đồ mạch khảo sát cẳng EX-NOR ❖ Thực cho cổng cịn lại, sau ghi lại kết vào bảng Cống SW1 SW2 OFF OFF OFF ON ON OFF ON ON ❖ So Cong LED1 - - SW3 SW4 OFF OFF OFF ON ON OFF ON ON Cong LED2 - SW SW6 OFF OFF OFF ON ON OFF ON ON Cong LED3 - SW7 SW8 OFF OFF OFF ON ON OFF ON ON sánh kết với bảng thật cổng EX-NOR ngõ vào nhận xét LED4 - 1.4.7.2 Tạo cổng EX-NOR tù cổng EX-OR NOT Hình 1.54 Sơ đồ kết tạo cổng EX-NOR mơ hình ❖ Bật cơng tắc cấp nguồn cho module D1.1F D1.1A ❖ Dùng jack cắm kết nối hình vẽ ghi lại kết theo bảng sau: Cổng A SW3 SW4 OFF OFF OFF ON ON OFF ON ON ❖ So Cổng B LED4 - SW3 SW4 OFF OFF OFF ON ON OFF ON ON Cổng c LED4 - SW3 SW4 OFF OFF OFF ON ON OFF ON ON Cổng D LED4 - SW3 SW4 OFF OFF OFF ON ON OFF ON ON LED4 - sánh kết với bảng giá trị cổng NAND ngõ vào nhận xét 1.4.7.3 Nhận xét đảnh giả kết ❖ Sau thực xong sinh viên ghi lại kết theo bảng, so sánh kết với lý thuyết nhận xét ❖ Tiếp theo, sinh viên thực lại bước IC cồng NAND loại CMOS, loại ngõ để hở cực Collector, ngõ trạng thái khối testboard Nhận xét kết thu ❖ Thực giao tiếp cổng IC họ TTL CMOS IC với testboard đo thông số dòng điện, điện áp ngõ vào/ra Nhận xét kết thu 1.4.8 Khảo sát chức cổng ĐỆM {BUFFER NON-INVERTER') • Kí hiệu: • Bảng thật: A X 0 1 Hình 1.55 Sơ đồ chân IC cẩng ĐỆM • Chuẩn bị linh kiện thiết bị thực hành: s Các jack cắm mơ hình thực hành J VOM dây cắm testboard J Linh kiện: Các IC cổng đệm 74LS07, CD4050, CD4503 (3-State) Các linh kiện khác: điện trở, tụ điện, transistor, LED đơn, LED đoạn, • Thực hành: Trước vào thực hành mô hình, sinh viên cần ý lắng nghe giáo viên hướng dẫn thực thao tác sau: J Bật công tắc nguồn kiểm tra nguồn mơ hình thực hành (AC=220V) J Cấp nguồn cho Module mà cần thực (Vcc=5V) Kiểm tra jack cắm chuẩn bị thực hành mơ hình 1.4.8.1 Khảo sát kiểm tra chức IC 74LS07 Hình 1.56 Sơ đồ kết cổng ĐỆM mơ hình ❖ Bật cơng tắc cấp nguồn cho module Dl 1H Dl IB ❖ Dùng jack cắm kết nối: (SW1) với ngõ vào (Al), (LED1) với ngõ (Yl) cổng A, (Rl) với ngõ (Yl), (RC) với ngõ (+5V) Sau kết nối tương tự cho cổng NOT lại thực theo bảng sau: Cổng A SW1 OFF ON LED1 - Cổng B SW2 OFF ON LED2 - Cổng c SW3 OFF ON LED3 - Cổng D SW4 OFF ON LED4 - Cổng E SW5 OFF ON LED5 - Cổng F SW6 OFF ON LED6 - ♦♦♦ So sánh kết với bảng thật cổng ĐỆM nhận xét 1.4.8.2 Nhận xét đảnh giá kết ❖ Sau thực xong sinh viên ghi lại kết theo bảng, so sánh kết với lý thuyết nhận xét ❖ Tiếp theo, sinh viên thực lại bước IC cống đệm loại CMOS, ngõ trạng thái khối testboard Nhận xét kết thu ❖ Thực giao tiếp cổng IC họ TTL CMOS IC với testboard đo đạc thơng số dịng điện, điện áp ngõ vào/ra Nhận xét kết thu 1.5 CÁC MẠCH ỨNG DỤNG CƠNG LOGIC 1.5.1 Mạch đèn báo có xe ngã tư • u cầu: Nhằm mục đích để người lái xe phát có xe đường ngang phía đường đối diện đến ngã tư, bạn thiết kế hệ thống đèn báo có xe đặt ngã tư đường (đường ưu tiên) đường phụ (đường khơng ưu tiên) Giả sử ngõ cảm biến phát xe đặt đường phụ A, B đường c, D theo yêu cầu sau: - Đèn xanh đường (X) sáng hai bên đường CD có xe (C=l D=l) - Đèn xanh đường (X) sáng hai bên đường có xe (C=l D=l) hai bên đường phụ A-B không đồng thời có xe (A=o, B=1 A=l, B=o A=o, B=0) - Đèn xanh đường phụ (Y) sáng hai bên đường phụ A-B có xe (A=l B=l) hai bên đường C-D khơng đồng thời có xe (C=o, D=1 c=l, D=o c=o, D=0) - Đèn xanh đường phụ (Y) sáng hai bên đường phụ A-B có xe hai bên đường C-D khơng có xe (C=o D=0) Bình thường bên đường khơng có xe có đèn xanh đường (X) sáng • Thiết kế: A B c D - Từ yêu cầu trên, ta xây dựng mạch điều khiển với ngõ vào cảm biến phát xe tương ứng với tín hiệu (A, B, c, D) ngõ tín hiệu điều khiển đèn báo (X) (Y) Với mức tích cực cảm biến vào mức (phát có xe) mức tích cực đèh ngõ mức (đèn sáng) - Tiếp theo, ta lập bảng trạng thái ngõ vào/ra theo yêu cầu Bảng ỉ Bảng trạng thái ngõ vào Trạng thái Ngõ vào AB c D Ngô X Y 0000 0001 0 10 0 11 10 0 10 110 1 1 1 0 0 0 10 11 12 111 10 0 10 10 10 10 11 110 110 1110 1111 1 1 0 1 0 1 13 14 15 - Dựa vào bảng trạng thái ta viết biếu thức hàm ngõ X Y tương ứng với trạng thái ngõ vào dạng rút gọn (sử dụng định lí đại số Boole phương pháp bảng Karnaugh) Sau đây, ta thực rút gọn hàm Y phương pháp bảng Karnaugh —► Y = AB(C+D) +CD(A+B) —>X = Y - Xây dựng mạch điều khiển: từ biếu thưc logic X, Y ta xây dựng mạch điều khiển sử dụng cống logic với ngõ vào biến (A, B, c, D) ngõ X, Y • Thực hành: Hình ỉ 57 Sơ đồ mạch đèn báo ngã tư - Sinh viên thực lắp ráp mạch điện hình 1.57 - Lập bảng trạng thái ngõ vào/ra so sánh với bảng trạng thái tính theo lý thuyết - Nhận xét kết thực 1.5.2 Mạch điều khiển chọn nút nhấn U’U tiên - - • Yêu cầu: Một ứng dụng điều khiến sử dụng nhiều chương trình “7 sắc cầu vịng” hay “Đường lên đỉnh Olympia”, mạch điều khiển chọn nút nhấn ưu tiên hay nói cách khác ưu tiên cho nhóm đội bấm nút nhanh đế chọn câu trả lời, đèn đội sáng chng reo lên, cịn nhóm đội khác bấm nút chậm đèn chng đội khơng có tác dụng Hình 1.58 mơ tả hoạt động mạch điều khiển chương trình gồm đội tham gia Khi nhóm đội A nhấn nút mạch điều khiến tạo tín hiệu đồng thời: tín hiệu làm cho bóng đèn đội (hoặc chng) sáng tín hiệu cịn lại vơ hiệu hóa mạch điều khiển nhóm đội B Và ngược lại, đội B nhấn nút vơ hiệu hóa mạch điều khiển đội A Hình 1.58 Sơ đồ khối mô tả hoạt động mạch chọn nút nhấn ưu tiên • Thiết kế: - Từ yêu cầu trên, ta sử dụng cổng logic phần tử khác để xây dựng mạch điện trình bày hình bên Hình 1.59 Sơ đồ chi tiết mạch chọn nút nhấn ưu tiên Thực hành: - Sinh viên thực lắp ráp mạch điện hình 1.59 - Thay đồi vị trí cơng tắc (SW) biểu diễn theo hoạt động mạch nhận xét kết - Tiếp theo, sinh viên thực thiết kế mạch điều khiến đội chơi Sau đó, lắp ráp nhận xét kết thực 1.5.3 Mạch đèn cảnh báo an toàn ôtô • Yêu cầu: Khi ngồi ôtô, để đảm bảo an tồn trước xe chạy tất người xe phải thắt dây an toàn Nếu chưa thắt dây an toàn đèn cảnh báo sáng nhằm báo cho người biết • Thiết kế: Sinh viên thiết kế mạch đèn cảnh báo với yêu cầu sau: RUN - DRIV ► BELTD > PASS BELT- - Hình 1.60 Sơ đồ khối mô tả hoạt động mạch đèn báo an tồn ơtơ ALARM - Các cảm biến ngõ vào hoạt động theo yêu cầu sau: RUN: tích cực mức cao cơng tắt khởi động xe bật lên DRIV: tích cực mức cao có người ghế ngồi tài xế BELT-D\: tích cực mức thấp tài xế chưa thắt dây an toàn PASS: tích cực mức cao có người ghế ngồi hành khách BELT-P\: tích cực mức thấp hành khách chưa thắt dây an tồn - Ngõ ALARM\: tích cực mức thấp (đèn báo) xe khởi động ghế có người ngồi mà chưa thắt dây an tồn • Thực hành: Sinh viên thực bước sau: - Lập biểu thức lý luận hàm ngõ theo yêu cầu thiết kế - Xây dựng sơ đồ mạch điện - Giải thích nguyên lý hoạt động mạch - Thực lắp ráp theo sơ đồ mạch nhận xét kết ... dựa sở nguyên lý số Đẻ đáp ứng nhu cầu hiểu biết nắm vững kiến thức Kỹ thuật số, tác giả dã cố gắng biên soạn “ Giáo trình THÍ NGHIỆM KỸ THUẬT SỐ ” nhằm truyền tải kiến thức số tảng đen với bạn... Giáo trình THÍ NGHIỆM KỸ THUẬT SỐ TRUỒNG ĐẠI HỘC CỔNG NGHIỆP TP.HCM MẲ VẠCH NHÀ XUẤT BẢN ĐẠI HỌC CƠNG NGHIỆP THÀNH PHỐ HỊ CHÍ MINH - 2015 LỜI NÓI ĐÀƯ Việc ứng dụng khoa học kỹ thuật vào đời sống... kế mạch đồng hồ số 213 5.3.4 Thiết kế mạch đếm xe vào .218 TÀI LIỆU THAM KHẢO BÀI 1: CỐNG LOGIC - MỤC TIÊU Bài thí nghiệm giúp sinh viên củng cổ kiến thức có kỹ sau: - Nắm vững

Ngày đăng: 17/08/2022, 20:59

Xem thêm:

w