1. Trang chủ
  2. » Giáo Dục - Đào Tạo

TÀI LIỆU THÍ NGHIỆM KỸ THUẬT SỐ

140 9 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

ĐẠI HỌC QUỐC GIA THÀNH PHỐ HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA KHOA ĐIỆN – ĐIỆN TỬ BỘ MƠN KỸ THUẬT ĐIỆN TỬ oOo— TÀI LIỆU THÍ NGHIỆM KỸ THUẬT SỐ MỤC LỤC LỜI NÓI ĐẦU LAB 1: CÁC CỔNG LOGIC VÀ IC CHỨC NĂNG CƠ BẢN LAB 2: THỰC HIỆN CÁC IC CHỨC NĂNG CƠ BẢN TRÊN FPGA 37 LAB 3: THIẾT KẾ HỆ TỔ HỢP 66 LAB 4: THỰC HIỆN HỆ TỔ HỢP VÀ HỆ TUẦN TỰ CƠ BẢN TRÊN FPGA 81 THIẾT KẾ HỆ TUẦN TỰ LAB 5: BÀI TỔNG HỢP THỰC HIỆN MẠCH SỐ TRÊN BREADBOARD – GIAO TIẾP VỚI FPGA 107 LỜI NÓI ĐẦU Tài liệu sử dụng mơn Thí nghiệm Kỹ thuật số, giành cho sinh viên khoa Điện – Điện tử, trường Đại học Bách Khoa TPHCM Các thí nghiệm xây dựng theo hướng: - Sử dụng vi mạch (IC) số để thiết kế, thực mạch tổ hợp mạch breadboard - Sử dụng ngôn ngữ mô tả phần cứng (HDL), thiết kế mạch số FPGA Tài liệu gồm thí nghiệm Lab – Các cổng logic IC chức Lab – Thực IC chức FPGA Lab – Thiết kế mạch tổ hợp - Mạch cộng – Thiết kế mạch Lab – Thực mạch tổ hợp mạch FPGA Lab – Bài thiết kế tổng hợp Electronics Department Ho Chi Minh City University of Technology, Vietnam Để làm tốt thí nghiệm, sinh viên PHẢI thực chuẩn bị thí nghiệm (Prelab) trước buo thí nghiệm Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức LAB 1: CÁC CỔNG LOGIC VÀ Họ tên: IC CHỨC NĂNG CƠ BẢN MSSV: Lớp TN: Ngày: A PRELAB I HƯỚNG DẪN CƠ BẢN VỀ BREADBOARD Breadboard sản phẩm thiếu trình học tập điện tử, sử dụng để lắp kiểm tra mạch điện tử Hình 1.1: Hình minh họa breadboard Như bạn thấy, breadboard có nhiều lỗ, lỗ cách khoản tiêu chuẩn để thành phần IC, tụ điện, điện trở, LED… lắp vào khớp hoàn toàn Dưới lỗ dải kim loại tiếp xúc với chân linh kiện jumper (dây nối), giúp chân linh kiện, jumper nối với hình thành mạch điện kín mà khơng cần đến thao tác hàn dây, đồng thời phần tử mạch điện gỡ ra, chỉnh sửa theo mong muốn cách dễ dàng Các dải kim loại kết nối với nhiều chân, cụ thể sau: Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức - Các dải nguồn: hai rìa breadboard có hàng kí hiệu +/- kết nối theo hàng ngang Hai dãi sử dụng để phân phối nguồn cho toàn mạch - Các lưới thành phần: phần breadboard kết nối theo hàng dọc theo hình minh họa sau Hình 1.2: Hình minh họa vị trí dải kim loại breadboard ⮚ Một số lưu ý cắm breadboard Để kết nối linh kiện breadboard, ta sử dụng dây dẫn Trong mơn học Thí nghiệm Kĩ Thuật Số cung cấp cho sinh viên dây cắm breadboard chuyên dụng Trong dây gồm có 14 loại dây có kích thước vừa đủ để kết nối lỗ breadboard với (độ dài lỗ, lỗ, lỗ…) Mỗi loại dây gồm 10 sợi Hình 1.3: Bộ dây dẫn chuyên dụng cắm breadboard Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức Sinh viên dùng phải ý thao tác, đảm bảo cho dây không bị méo dạng sau sử dụng Trước cắm dây, cần đảm bảo đầu dây phải thẳng Sử dụng kìm kẹp để cắm dây dễ thao tác Lưu ý: Các dây jumper cung cấp cho thí nghiệm có kích thước hình dạng cố định, thí nghiệm khơng tự ý thay đổi kích thước hình dạng dây Trong trường hợp dây jumper bị méo dạng trình tháo, lắp, cần trả hình dạng gốc dây Có thể để hai dây jumper chéo nhau, hạn chế Hình 1.4: Hình minh họa việc cấm dây (dấu X: không cho phép bẻ dây) Khi cắm điện trở, tụ điện, LED, BJT… cắt ngắn chân linh kiện vừa đủ để cắm breadboard Trong trường hợp không cắt ngắn, cần ý không để chân chạm gây chập mạch Khi làm việc với IC, cần đọc datasheet tương ứng IC, nắm vị trí chân IC datasheet tương ứng với thực tế Quan sát IC, có kí hiệu chân số 1, từ vị trí chân số nhìn IC từ xuống, số thứ tự chân IC đánh số theo chiều ngược chiều kim đồng hồ Kí hiệu chân số IC vết kht hình vịng cung, dấu chấm footprint dạng DIP Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức Hình 1.5: Xác định chân IC ⮚ Ví dụ mạch cắm bread board Cho mạch điện LED báo nguồn sau Mạch báo nguồn Triển khai mạch breadboard Hình 1.6: Mạch LED báo nguồn ⮚ Hướng dẫn cắm input output Theo lý thuyết, hệ nhị phân, gọi bit (bit dạng rút gọn binary digit) Nhưng mạch số thực tế, hai bit nói biểu diễn hai mức điện áp khác Có hai cách biểu diễn mức logic dương mức logic âm Mức logic dương: biễu diễn mức điện áp cao mà thường gọi MỨC Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức CAO (HIGH), biễu diễn mức điện áp thấp mà gọi MỨC THẤP (LOW) Mức logic âm: ngược lại so với mức logic dương Trong Thí nghiệm Kỹ Thuật Số ta dùng mức logic dương để biểu diễn hệ nhị phân suốt q trình học tập Theo lý thuyết, có mức điện áp biểu diễn MỨC CAO (1) điện áp biểu diễn MỨC THẤP (0) Tuy nhiên thực tế, MỨC CAO hiểu điện áp nằm giữ giá trị cực đại cực tiểu Điều tương tự với MỨC THẤP Lấy chuẩn TTL làm ví dụ, MỨC CAO (1) rơi khoảng điện áp đến 5V tín hiệu ngõ vào (Input) 2.7 đến 5V tín hiệu ngõ (Output), MỨC THẤP (0) rơi khoản điện áp đến 0.8V tín hiệu ngõ vào (Input) đến 0.5V tín hiệu ngõ (Output) Khi mức điện áp ngõ vào nằm giữ MỨC THẤP MỨC CAO (từ 0.8V đến 2.7V) dải điện áp không xác định dẫn đến trạng thái không hợp lệ gọi thả (floating) Trong mơn học Thí nghiệm Kỹ Thuật Số, ta sử dụng mức điện áp 5V cho mức cao (1) 0V cho mức thấp (0) Hình 1.7: Sơ đồ khối mạch số Trong Thí nghiệm Kỹ Thuật Số yêu cầu sinh viên thiết kế mạch có ngõ vào, ngõ ra, mối liên hệ ngõ vào ngõ để thực chức Vì cắm mạch breadboard, sinh viên phải cắm đủ phần: ngõ vào (input, dải tín hiệu đầu vào), mạch thiết kế, ngõ (output, dùng để hiển thị kết cách trực quan) Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức Phần mạch input, cần thiết kế cho dễ dàng thay đổi mức Ta sử H dụng công tắc (switch) để làm điều này, switch sử dụng loại dip switch: gồm dãy switch độc lập, hình minh họa loại dip switch 10 positions, gồm 10 switch độc lập với đánh số từ đến 10, cơng tắc tương ứng nằm phía trên, gạt cơng tắc mức ON hai chân tương ứng cơng tắc nối với Có hai cách thiết kế mạch input: cơng tắt ON ngõ vào mức (hình trái) cơng tắt ON ngõ vào mức (hình giữa) sơ đồ nguyên lý sau Trong điện trở có giá trị 10Kohm Theo kinh nghiệm cá nhân, nên thiết kế mạch input hình bên phải ổn định, dễ thao tác Hình 1.9: Kết nối DIP Switch Về phần mạch output, cần thiết kế để quan sát ngõ ra, thông thường hiển thị output LED đơn, bar LED, LED đoạn (đối với mạch đếm) Một số mạch hiển thị output LED đơn Hình bên trái: ngõ mức LED sáng, ngõ mức LED tắt Hình bên phải: ngược lại Các điện trở hạn dịng sử dụng có giá trị 1Kohm Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 1: Các cổng logic IC chức Hình 1.10: Kết nối LED Ví dụ: thiết kế hàm f ( a ,b )=a+ b Đầu tiên cần phải phân tích đề bài: - Ngõ vào: có ngõ vào đặt tên a b - Ngõ ra: có ngõ f - Mối liên hệ ngõ vào ngõ ra: f = a + b Vì ta sử dụng IC thực phép toán OR 74HC32 Xem datasheet IC 74HC32 để biết chi tiết chân IC Nhớ cấp nguồn cho IC Sơ đồ nguyên lý cần thiết kế: gồm phần: input, phần mạch, output Electronics Department Ho Chi Minh City University of Technology, Vietnam Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Sinh viên vẽ lại FSM hệ thống điều khiển thủ công Electronics Department Ho Chi Minh City University of Technology, Vietnam 125 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Viết lại đoạn mã SystemVerilog wrapper (top_level) thiết kế Electronics Department Ho Chi Minh City University of Technology, Vietnam 126 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Sinh viên trình bày kết hoạt động nạp lên Kit DE (hình ảnh) Electronics Department Ho Chi Minh City University of Technology, Vietnam 127 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA Electronics Department Ho Chi Minh City University of Technology, Vietnam 128 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA THÍ NGHIỆM Mục tiêu: Thiết kế hệ thống đèn giao thông tự động, hai chế độ Yêu cầu: Thiết kế hệ thống đèn giao thơng cho ngã tư có thông số sau: Đầu vào (input): - Các nút nhấn bao gồm: o nút RESET (Sử dụng nút Key0 Kit De 2) o Switch chuyển chế độ tự động điều khiển (Sử dụng SW0 Kit De2) o Switch thay đổi hướng xe di chuyển (Sử dụng nút SW1 Kit De2) Ngõ (output): - Thay kết nối GPIO_0 Kit De đến Led đoạn thành Kết nối từ GPIO_0 Kit De đến IC 74LS47 đến Led đoạn Mô tả hoạt động: - Sau RESET, hệ thống đèn giao thông tự động hoạt động theo chế độ cài SW0 - Chế độ điều khiển thí nghiệm - Ở chế độ tự động: o Mỗi hướng xe thay di chuyển o Hướng di chuyển có đèn xanh thời hạn giây đếm xuống 0, chuyển sang đèn vàng từ giây đếm xuống Lúc hướng chuyển sang đèn đỏ, thời gian đếm ngược đếm xuống Electronics Department Ho Chi Minh City University of Technology, Vietnam 129 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Hướng lại hiển thị đèn đỏ thời gian đếm ngược đếm xuống (Trùng thời điểm hướng lại vừa đèn đỏ giây) chuyển sang đèn xanh thời hạn giây đếm xuống 0, chuyển sang đèn vàng từ giây đếm xuống Và tiếp tục Lưu ý: Thời gian u cầu có tính chất tham khảo, sinh viên sử dụng áp dụng cách đếm cho hệ thống hoạt động hợp lý Kiểm tra: Sinh viên sử dụng kit De với ngôn ngữ mô tả phần cứng SystemVerilog cho mạch mô tả phần cứng hoạt động trên, kết nối từ GPIO đến breadboard để thể hệ thống đèn Hệ thống nút nhấn, switch sử dụng kit yêu cầu đề o Sinh viên vẽ lại sơ đồ khối thiết kế phần cứng Electronics Department Ho Chi Minh City University of Technology, Vietnam 130 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Sinh viên vẽ lại sơ đồ nguyên lý mạch lắp (Từ GPIO đến linh kiện Breadboard) Electronics Department Ho Chi Minh City University of Technology, Vietnam 131 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Sinh viên vẽ lại FSM hệ thống điều khiển tự động Electronics Department Ho Chi Minh City University of Technology, Vietnam 132 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Viết lại đoạn mã SystemVerilog wrapper (top_level) thiết kế o Sinh viên trình bày kết hoạt động nạp lên Kit DE (hình ảnh) Electronics Department Ho Chi Minh City University of Technology, Vietnam 133 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA Electronics Department Ho Chi Minh City University of Technology, Vietnam 134 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA THÍ NGHIỆM Mục tiêu: Thiết kế hệ thống đèn giao thông tự động, hai chế độ sử dụng IC 74Ls47 kèm theo bảng quảng cáo LCD đặt góc ngã tư Yêu cầu: - Sinh viên kết nối đến module LCD 16 x dùng GPIO_1 tới breadboard, hiển thị đoạn quảng cáo “Thi Nghiem KTS” hàng 1, “BM Dien Tu DHBK” hàng Hình 5.6: LCD 2x16 Kiểm tra: Sinh viên sử dụng kit De với ngôn ngữ mô tả phần cứng SystemVerilog cho mạch mô tả phần cứng hoạt động trên, kết nối từ GPIO đến breadboard để thể hệ thống đèn Hệ thống nút nhấn, switch sử dụng kit yêu cầu đề o Sinh viên vẽ lại sơ đồ khối thiết kế phần cứng Electronics Department Ho Chi Minh City University of Technology, Vietnam 135 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Sinh viên vẽ lại sơ đồ nguyên lý mạch lắp (Từ GPIO đến linh kiện Breadboard) Electronics Department Ho Chi Minh City University of Technology, Vietnam 136 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Viết lại đoạn mã SystemVerilog wrapper (top_level) thiết kế o Giao tiếp LCD phần cứng mô tả với SystemVerilog thực nào? Viết lại đoan mã SystemVerilog giao tiếp LCD Electronics Department Ho Chi Minh City University of Technology, Vietnam 137 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA o Sinh viên trình bày kết hoạt động nạp lên Kit DE (hình ảnh) Electronics Department Ho Chi Minh City University of Technology, Vietnam 138 Lab 5: Bài tổng hợp thực mạch số breadboard – giao tiếp với FPGA -HẾT - Electronics Department Ho Chi Minh City University of Technology, Vietnam 139

Ngày đăng: 28/12/2022, 08:41

Xem thêm:

w