Máy trạng thái hữu hạn FSM:

Một phần của tài liệu Bài giảng VHDL (Trang 72 - 73)

Sự khác nhau chính giữa các mạch kết hợp và các mạch tuần tự đó là các mạch kết hợp chỉ phụ thuộc vào các ngõ vào hiện tại, trong khi ngoài các ngõ vào hiện tại, các mạch tuần tự còn tùy thuộc vào các ngõ vào trước đó. Các ngõ vào trước đó được nhớ trong bộ nhớ trạng thái, được tạo ra từ một hoặc nhiều mạch flip-flop. Nội dung của các mạch flip-flop bộ nhớ trạng thái tại bất kỳ thời điểm nào tương ứng với trạng thái hiện tại của mạch. Mạch thay đổi từ một trạng thái đến trạng thái tiếp theo khi nội dung của bộ nhớ trạng thái thay đổi.

Một mạch tuần tự hoạt động từng bước thông qua một chuỗi các trạng thái. Vì bộ nhớ trạng thái là hữu hạn, cho nên tổng số các trạng thái có khả năng khác nhau cũng hữu hạn. Vì lý do này, một mạch tuần tự cũng được xem như một máy trạng thái- hữu hạn (FSM). Dù chỉ có một số hữu hạn các trạng thái khác nhau, FSM có thể đi đến bất kỳ trạng thái nào khi cần thiết. Từ đó, chuỗi các trạng thái mà FSM đi qua có thể dài vô tận .

Để bổ sung bộ nhớ trạng thái, một máy trạng thái- hữu hạn chứa hai phần kết hợp: trạng thái logic tiếp theo và ngõ ra lôgic. Phụ thuộc vào trạng thái hiện thời của máy và các tín hiệu vào, trạng thái lôgic tiếp theo quyết định trạng thái tiếp theo là gì bằng việc thay đổi nội dung của bộ nhớ trạng thái. Để đưa ra trạng thái hiện tại và các ngõ vào, trạng thái lôgic tiếp theo tạo ra một giá trị mới tương đương trạng thái tiếp theo của máy. Bằng việc thay đổi các giá trị ngõ vào flip-flop, mạch trạng thái tiếp theo làm cho bộ nhớ trạng thái thay đổi đến giá trị mới. Giá trị mới của trạng thái tiếp theo được ghi vào bộ nhớ trạng thái tại cạnh tích cực của xung clock tiếp theo.

Tốc độ trong các chuỗi máy trạng thái- hữu hạn thông qua các trạng thái được quyết định bởi xung clock. Tại mỗi cạnh tích cực của tín hiệu xung clock , bộ nhớ thanh ghi trạng thái được cho phép và giá trị trạng thái tiếp theo được cất giữ vào trong các flip-flop. Yếu tố giới hạn tốc độ xung clock là trong thời gian mà nó thực hiện tất cả các thao tác dữ liệu để gán tới một trạng thái riêng biệt. Tất cả các thao tác dữ liệu được gán tới một trạng thái phải kết thúc trong một chu kỳ xung clock để các kết quả có thể được ghi vào trong các thanh ghi tại cạnh xung clock tích cực tiếp theo.

Phần kết hợp thứ hai ở một FSM là ngõ ra logic. Ngõ ra logic tạo các tín hiệu ngõ ra cần thiết cho FSM. Các tín hiệu ngõ ra tùy thuộc vào trạng thái hiện tại của máy và có thể hoặc không phụ thuộc vào các tín hiệu ngõ vào. Dù tín hiệu ngõ ra có phụ thuộc vào ngõ vào hay không vẫn có 2 kiểu của FSMs. Moore FSM là FSM có ngõ ra của máy chỉ phụ thuộc

vào trạng thái hiện tại và không phụ thuộc vào các tín hiệu vào, trong khi Mealy FSM là FSM có ngõ ra phụ thuộc vào cả trạng thái hiện tại và tín hiệu vào.

Một phần của tài liệu Bài giảng VHDL (Trang 72 - 73)

Tải bản đầy đủ (PDF)

(137 trang)