Nghiên cứu công nghệ vô tuyến điều khiển bằng phần mềm sdr và ứng dụng trong máy thu vô tuyến điện

95 77 1
Nghiên cứu công nghệ vô tuyến điều khiển bằng phần mềm sdr và ứng dụng trong máy thu vô tuyến điện

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI - LÊ HỒNG QUANG NGHIÊN CỨU CÔNG NGHỆ VÔ TUYẾN ĐIỀU KHIỂN BẰNG PHẦN MỀM SDR VÀ ỨNG DỤNG TRONG MÁY THU VÔ TUYẾN ĐIỆN LUẬN VĂN THẠC SĨ KỸ THUẬT ĐIỆN TỬ VIỄN THÔNG Hà Nội – 2012 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI LÊ HỒNG QUANG NGHIÊN CỨU CÔNG NGHỆ VÔ TUYẾN ĐIỀU KHIỂN BẰNG PHẦN MỀM SDR VÀ ỨNG DỤNG TRONG MÁY THU VÔ TUYẾN ĐIỆN Chuyên ngành: Điện tử viễn thông LUẬN VĂN THẠC SĨ KỸ THUẬT ĐIỆN TỬ VIỄN THÔNG NGƯỜI HƯỚNG DẪN KHOA HỌC : PGS Ts Vũ Văn Yêm Hà Nội – 2012 CÁC CỤM TỪ VIẾT TẮT SDR Software Defined Radio AM Amplitude Modulation M-PSK MultiPhase Shift Keying BPSK Binary Phase Shift Keying CORDIC COordinate Rotation DIgital Computer DSP Digital Signal Processor DDS Direct Digital Synthesis FFT Fast Fourier Transform FIR Finite Impulse Response FM Frequency Modulation FSK Frequency Shift Keying FPGA Field-programmable gate array ISI InterSymbol Interference NCO Numerically Controlled Oscillator QPSK Quadrature Phase Shift Keying QAM Quadrature amplitude modulation VHDL Very High Speed Integrated Circuit Hardware Description Language HDR Hardware Defined Radio IC Integrated RF Radio Frequency Circuit IF LO Local Oscillator DAC Digital to Analog Converter ADC Analog to Digital Converter RRC Root Raised Cosine filter MỤC LỤC CÁC CỤM TỪ VIẾT TẮT MỤC LỤC MỞ ĐẦU Chương 1: MÁY VƠ TUYẾN CẤU HÌNH MỀM SDR VÀ KHẢ NĂNG ỨNG DỤNG 1.1 GIỚI THIỆU CÔNG NGHỆ THIẾT KẾ MÁY VÔ TUYẾN SDR 1.2 CÁC CẤU TRÚC MÁY VÔ TUYẾN SDR 1.2.1 Máy vô tuyến SDR trung tần số 1.2.2 Máy vơ tuyến SDR hồn tồn số (cao tần số) 10 1.3 KHẢ NĂNG ỨNG DỤNG VÀ LỰA CHỌN GIẢI PHÁP THIẾT KẾ MÁY THU SỐ SDR 11 1.3.1 Khảo sát thị trường vật tư linh kiện khả ứng dụng công nghệ SDR điều kiện nước ta 11 1.3.2 Lựa chọn giải pháp thiết kế máy thu số SDR 13 1.3.3 Lý chọn công nghệ FPGA thiết kế máy thu số 15 Chương 2: CÁC VẤN ĐỀ KỸ THUẬT XỬ LÝ SỐ TRONG THIẾT KẾ MÁY VÔ TUYẾN SDR BẰNG CÔNG NGHỆ FPGA 19 2.1 BỘ BIẾN ĐỔI TƯƠNG TỰ/SỐ TÍN HIỆU TRUNG TẦN, CAO TẦN 19 2.1.1 Lỗi đặc tính truyền đạt tuyến tính khơng tuyến tính 20 2.1.2 Dải động (Dynamic Range) 21 2.2 BỘ NHÂN SỐ 24 2.3 BỘ CỘNG SỐ 25 2.4 BỘ DAO ĐỘNG SỐ 25 2.5 THUẬT TOÁN CODIC 28 2.6 CÁC BỘ CHUYỂN ĐỔI TẦN SỐ SỐ 30 2.6.1 Bộ trộn tần lên số DUC 30 2.6.2 Bộ trộn tần xuống số DDC 31 2.7 THIẾT KẾ CÁC BỘ LỌC SỐ 33 2.7.1 Bộ lọc FIR 33 2.7.2 Bộ lọc CIC 34 2.8 ĐIỀU CHẾ TÍN HIỆU RỜI RẠC 39 2.8.1 Các tín hiệu điều chế sóng mang vơ tuyến 39 2.8.2 Điều chế băng gốc 40 2.8.3 Mơ tả tín hiệu RF 41 2.8.4 Các kỹ thuật điều chế 42 Chương 3: ỨNG DỤNG CÔNG NGHỆ SDR TRONG THIẾT KẾ MÁY THU VÔ TUYẾN 54 3.1 XÂY DỰNG CHỈ TIÊU KỸ THUẬT CỦA MÁY THU SDR 54 3.1.1 Chỉ tiêu chung 54 3.1.2 Chỉ tiêu kỹ thuật thiết bị thu luồng E1 55 3.2 THIẾT KẾ PHẦN CỨNG MÁY THU SDR 55 3.2.1 Xây dựng sơ đồ khối máy thu SDR 55 3.2.2 Sơ đồ nguyên lý 63 3.3 THIẾT KẾ PHẦN MỀM MÁY THU SDR 70 3.3.1 Thiết kế phần mềm xử lý tín hiệu số FPGA 70 3.3.2 Thiết kế phần mềm quản lý thiết bị AVR 80 3.3.3 Mô giải điều chế QPSK system Generator 81 3.4 CHẾ TẠO VÀ KIỂM TRA BẢNG MẠCH 85 3.5 MỘT SỐ SẢN PHẨM SDR SỬ DỤNG CÔNG NGHỆ FPGA 88 3.5.1 Thiết bị VIBA kênh thoại 88 3.5.2 Thiết bị thu phát HF/VHF SDR 90 3.5.3 Thiết bị thu giám sát dải tần ÷ 30MHz 91 TÀI LIỆU THAM KHẢO 93 MỞ ĐẦU Hệ thống thông tin vô tuyến ngày phổ biến sống Các nhà nghiên cứu công nghệ viễn thơng tìm cách đời sản phẩm phục vụ cho nhu cầu ngày lớn người sử dụng Sự cạnh tranh nhà cung cấp dịch vụ chạy đua việc đưa sản phẩm có chất lượng tốt, hiệu sử dụng băng tần cao, cấu hình linh hoạt dẫn đến nhu cầu cần có giải pháp cơng nghệ hỗ trợ cho việc nhanh chóng nâng cấp, thay đổi cấu hình thiết kế Cơng nghệ SDR (Software Defined Radio - Vô tuyến định nghĩa phần mềm) đời phát triển dựa phát triển mạnh mẽ cơng nghệ xử lý số tín hiệu Trong lĩnh vực điện tử viễn thông, công nghệ ứng dụng thiết bị thu, phát vô tuyến Thiết bị thiết kế công nghệ SDR cho phép nhà khai thác dễ dàng thay đổi tính dải tần, chuẩn giao tiếp, băng thơng, phương thức điều chế, mã hóa phần mềm mà không cần phải can thiệp vào phần cứng làm giảm tổng chi phí, giúp cải thiện thời gian đưa sản phẩm thương mại, làm giảm đáng kể rủi ro đầu tư nhà khai thác Với tính ưu việt cơng nghệ SDR xu hướng phát triển ứng dụng công nghệ SDR diễn nước ta em chọn đề tài “Nghiên cứu công nghệ vô tuyến điều khiển phần mềm SDR ứng dụng máy thu vô tuyến điện” Nội dung đề tài nghiên cứu cơng nghệ SDR, cấu hình thiết bị SDR, kỹ thuật xử lý tín hiệu số, khả ứng dụng thực thiết kế máy thu vô tuyến SDR cụ thể Cấu trúc luận văn gồm chương: Chương 1: Nghiên cứu tổng quan máy vơ tuyến cấu hình mềm Phân tích khả ứng dụng công nghệ SDR thiết kế máy vô tuyến lựa chọn giải pháp thiết kế SDR phù hợp với điều kiện công nghệ nước ta Chương 2: Các giải pháp kỹ thuật thiết kế phần số máy vô tuyến điều khiển phần mềm SDR công nghệ FPGA Chương 3: Thực thiết kế phần máy thu SDR công nghệ FPGA Em xin cam đoan luận văn tổng hợp từ trình nghiên cứu thân với định hướng người hướng dẫn, khơng có chép từ đề tài khác Chương 1: MÁY VÔ TUYẾN CẤU HÌNH MỀM SDR VÀ KHẢ NĂNG ỨNG DỤNG 1.1 GIỚI THIỆU CÔNG NGHỆ THIẾT KẾ MÁY VÔ TUYẾN SDR Thế kỉ 20 chứng kiến bùng nổ vô tuyến có kiến trúc dựa phần cứng (HDR – Vơ tuyến cấu hình cứng) phương tiện liên lạc cho tất dạng thông tin âm thanh, hình ảnh truyền qua khoảng cách dài Phần lớn máy vơ tuyến cấu hình cứng có khơng có điều khiển phần mềm, chúng cố định chức cho phần lớn dịch vụ người sử dụng Chúng có tuổi thọ ngắn thiết kế loại bỏ thay Với thiết bị vơ tuyến cấu hình xác định (cấu hình cứng) chúng tạo cho chức xác định, làm việc số chế độ cụ thể, điều kiện cụ thể Thời gian sử dụng chúng theo ngắn yêu cầu sử dụng thay đổi nhanh chóng mà phần cứng chưa thể thay đổi kịp theo Chính lí mà thiết bị thơng tin vơ tuyến cấu hình mềm nghiên cứu thay để khắc phục nhược điểm Phiên hệ thơng vơ tuyến cấu hình mềm dùng cho ứng dụng quân Đó hệ thống JSTR (Joint Tactacial Radio Systems - Hệ thống vô tuyến chiến thuật chung) Bộ Quốc phòng Mỹ Các nhà huy qn đội cần có hệ thống thơng tin thơng minh hơn, hoạt động đa dạng không tần số, chế độ cơng tác khác mà cịn phải có mức độ an tồn cao Thiết bị vơ tuyến cần phải thiết kế để sử dụng linh hoạt điều kiện khác nhau, quốc gia khác với tiêu chuẩn khơng đồng Chúng kết nối với mạng thông tin quốc gia mà đội qn triển khai để tối ưu hố việc kết nối Chương trình có kết đời hệ thống thiết bị vô tuyến chung Hệ thống JSTR thiết kế tương thích với 33 tiêu chuẩn truyền thơng sau 40 tiêu chuẩn truyền thông khác Sau thành công ứng dụng quân nhà sản xuất thiết bị, cơng ty thương mại thấy lĩnh vực mang lại lợi nhuận cao nên đầu tư nghiên cứu phát triển ứng dụng dân SDR Các máy vô tuyến loại xây dựng sử dụng kỹ thuật bán dẫn số đại Mạch tích hợp số (IC) bên máy vô tuyến điều khiển phần mềm cho phép kiểm soát giới hạn chức thể phần mềm Ví dụ chức kiểm sốt bao gồm lập trình lại tần số, thay đổi khố mật, phím chuyển mạch lập trình Tuy nhiên, vơ tuyến loại thay đổi dạng điều chế băng tần hoạt động Hầu hết máy vô tuyến đại ngày phân loại máy vô tuyến điều khiển phần mềm SDR giao diện vơ tuyến nhiều chuẩn thơng tin vơ tuyến tích hợp lên hệ thống thiết bị thu phát đơn lẻ Trong thiết bị thông tin SDR, phần lớn khối chức khối xử lí tín hiệu tần số vơ tuyến trung tần (IF) chí cao tần (RF) thực module phần mềm với khối xử lí tốc độ cao Bằng cách này, cấu trúc phần cứng hỗ trợ nhiều chế độ liên lạc vô tuyến mà thay phần cứng Với đặc điểm đó, mục đích sử dụng việc nâng cấp thiết bị SDR giải pháp hiệu Vơ tuyến cấu hình mềm nhìn chung liên quan tới máy vơ tuyến mà linh hoạt nhận thông qua phần mềm sử dụng tảng phần cứng cố định Mặt khác, vô tuyến mềm bao hàm vơ tuyến cấu hình hồn tồn mà lập trình phần mềm để tái cấu hình phần cứng vật lý Nói cách khác, loại phần cứng thay đổi để biểu diễn chức khác thời điểm khác nhau, cho phép phần cứng phù hợp với ứng dụng SDR khác với vô tuyến điều khiển phần mềm chip xử lý số sử dụng để tạo nhiều kiểu điều chế, lọc, giao diện vô tuyến, chuẩn mã hóa khác Tuy nhiên, đầu cuối trước RF SDR thực mạch tương tự SDR sử dụng thiết bị số có khả lập trình được, thực xử lý tín hiệu cần thiết để truyền nhận thông tin băng gốc tần số vô tuyến Những thiết bị xử lý tín hiệu số (DSP, FPGA, ARM) sử dụng phần mềm cung cấp cho chúng chức xử lý tín hiệu yêu cầu Những đề xuất công nghệ mềm dẻo sản phẩm sử dụng dài hơn, hệ thống vơ tuyến nâng cấp có hiệu chi phí với phần mềm Như ta số lợi ích vơ tuyến cấu hình mềm sau: + Đa chức + Tính linh hoạt cho nhà khai thác + Đơn giản sản xuất phần cứng + Nâng cấp đơn giản, nhanh chóng + Tương thích đa chuẩn, kể chuẩn đời tương lai + Tính kinh tế Một thách thức cho SDR tương thích tính hiệu giải pháp phần cứng linh hoạt phần mềm đưa Tính hiệu đo hiệu bit tin, lượng tiêu thụ cho bit, lượng vật lý tiêu thụ bit thông tin Tuy người sử dụng không cần biết công nghệ nằm bên hệ thống vô tuyến lại mong muốn hiệu cao hơn, linh hoạt hơn, trí tuệ Trong đó, việc chế tạo phát triển ứng dụng vơ tuyến cấu hình mềm bảo đảm máy tính, phần cứng xử lý tín hiệu hồn tất việc phát triển mơi trường thống sử dụng ngôn ngữ bậc cao Một máy vơ tuyến mà có vi xử lý xử lí tín hiệu số (DSP) khơng thiết máy vô tuyến phần mềm Tuy nhiên, máy vơ tuyến cấu hình mềm điều chế, sửa lỗi, q trình mã hố, đạt kiểm sốt tồn phần cứng RF lập trình lại Định nghĩa tốt vơ tuyến cấu hình mềm “Một máy vơ tuyến mà định nghĩa cách đầy đủ phần mềm hành vi lớp vật lí biến đổi cách sâu sắc thông qua thay đổi phần mềm nó” Mức độ tái cấu hình xác định tương tác phức tạp số lượng thành phần chung kiến trúc vô tuyến, bao gồm xây dựng hệ thống, hệ số kiểu anten, chế độ điện RF, xử lí băng gốc, tốc độ, mức độ tái cấu hình phần cứng quản lý công suất Thiết bị SDR cho phép người sử dụng thay đổi đặc tính thu phát kiểu điều chế, hoạt động băng rộng băng hẹp, công suất phát xạ giao diện không dây thay đổi phần mềm mà không cần thay phần cứng Các thiết bị truyền thống dựa phần cứng chun mơn hố transistor mạch tích hợp Trong thiết bị vơ tuyến đại hơn, IC sử dụng để biến đổi tương tự thành số (ADC) số thành tương tự (DAC) Một DSP FPGA xử lí tín hiệu số thay đổi chức cách thực thi thuật toán phần mềm khác Những tiến gần thiết kế sản xuất chip DSP cho phép chúng có khả hỗ trợ đa chức Điều phát triển liên tục công nghệ chế tạo để tạo thành thành phần chip có kích thước cực nhỏ, tạo chip DSP tích hợp nhiều chức Các thiết bị SDR tận dụng tiến chip DSP tiên tiến để thực đa chức 1.2 CÁC CẤU TRÚC MÁY VÔ TUYẾN SDR Để đánh giá vơ tuyến cấu hình mềm, ta xem xét cấu trúc vô tuyến phần cứng truyền thống (HDR) Hình 1.1 minh hoạ máy thu phát có cấu trúc truyền thống dùng chuyển đổi tần số trộn tần tương tự, có dạng điều chế cố định, băng thông cố định, dải tần xác định IF1 Duplexer LO1 IF2 Giải điều chế Xử lý băng gốc Điều chế Xử lý băng gốc LO2 Hình 1.1: Cấu trúc vô tuyến phần cứng truyền thống (HDR) Tại máy thu, tần số thu từ anten chuyển xuống tần số trung gian trộn nhân tín hiệu đầu vào với dao động nội (LO1) Tín hiệu trung gian (IF) lọc sau trộn xuống băng tần dao động thứ (LO2) Tín hiệu điều chế băng gốc giải điều chế để thu thông tin trình biến đổi ngược lại máy phát Số giai đoạn chuyển đổi phụ thuộc vào lựa chọn nhà thiết kế theo lý thuyết thêm giai đoạn trộn tần số để trung tần lên cao nằm dải làm việc lọc đầu vào nhằm triệt nhiễu ảnh Máy thu vô tuyến đổi tần tương tự trải qua giai đoạn thành cơng Nó sử dụng nhiều thiết bị vơ tuyến cịn kéo dài năm tới 1.2.1 Máy vô tuyến SDR trung tần số Dựa cấu trúc truyền thống, cấu trúc SDR khối băng gốc, điều chế giải điều chế số hóa Tồn kỹ thuật mã hóa/giải mã, ghép kênh/tách kênh, xáo trộn/giải xáo trộn … khối băng gốc điều khiển phần mềm Các kỹ thuật điều chế giải điều chế AM, SSB, FM, M-PSK, Mã hoá giải mã Vi sai dựa cách đánh dấu chuỗi tín hiệu bên phát để bên thu biết điều chỉnh cho thu tín hiệu kênh I, kênh Q biến đổi từ symbol sang symbol khác dãy tín hiệu số khơng truyền dãy tín hiệu số Phương pháp thường áp dụng truyền dẫn tín hiệu số nhằm loại trừ tác động tượng lẫn pha bên thu việc áp dụng có tác động làm tăng tính chất có nhớ kênh truyền Ngun lý tổng quát phương pháp mã hoá vi sai tín hiệu số mức biểu diễn sau: d n = a n + d n-1 a n symbol thứ n chuỗi tín hiệu số {a} đầu vào, d n symbol chuỗi tín hiệu số mã hố vi sai {d} Bên giải mã là: a n = d n + d n-1 Sơ đồ khối mã hoá giải mã Vi sai sau: {a} Cộng mod Giữ chậm T {d} {d} Giữ chậm T Cộng mod {a} Hình 3.29 Sơ đồ khối mã hố giải mã Vi sai Bộ mã hóa giải mã Vi sai làm cho kênh truyền bị trễ điều hồn tồn chấp nhận thông tin thoại ứng dụng 3.3.1.8 Biến đổi P/S Bộ biến đổi P/S có chức ghép hai luồng tốc độ 1024kbps bốn luồng bit tốc độ 512kbps thành luồng bit có tốc độ 2,048kbps Trong xử lý số, khơi phục sóng mang chỉnh pha làm việc xác nên việc ghép kênh thực dễ dàng 3.3.1.9 Giải xáo trộn Chuỗi liệu bên phát xáo trộn cách cho qua mạch nhân modun-2 với đa thức (còn gọi modun phân tán lượng) để nhằm nhiều mục đích khác Vì bên thu, muốn trả chuỗi bit thông tin gốc luồng bit thu qua mạch cộng modun-2 với đa thức quy ước hoàn toàn giống với bên phát 79 3.3.2 Thiết kế phần mềm quản lý thiết bị AVR Phần mềm quản lý thiết bị viết ngôn ngữ C, sử dụng trình soạn thảo, biên dịch nạp CodevisionAVR Căn vào chức năng, hoạt động khối MCU, em xây dựng nên sơ đồ thuật tốn Atmega128 hình 3.30 Bắt đầu Khởi tạo hiển thị ban đầu Đọc EEPROM Dữ liệu hợp lệ? Không Ghi liệu mặc định vào EEPROM Có Thiết lập trạng thái lần làm việc cuối Có phím bấm? Khơng Có Đồng liệu với bên phát? Có Hiển thị trạng thái hình Khơng Xử lý phím Yêu cầu thiết lập tần số mới? Khơng u cầu chế độ điều chế Có Điều khiển thông báo FPGA làm việc chế độ điều chế Điều khiển SI4133 tạo tần số Hình 3.30: Sơ đồ thuật toán quản lý thiết bị thu SDR khối MCU Chương trình phần mềm phải gồm hàm sau: - Hàm khởi tạo gồm: khởi tạo AVR, khởi tạo LCD, khởi tạo SI4133, khởi tạo DS21354; - Hàm ghi liệu vào nhớ EEPROM AVR để lưu trang thái làm việc hành thiết bị bị điện tắt nguồn; 80 - Hàm đọc liệu từ nhớ EEPROM; - Hàm đọc phím, kiểm tra chế độ người dùng thiết lập; - Hàm hiển thị trạng thái máy thu hình LCD; - Hàm thiết lập tần số cho SI4133 với tham số truyền vào tần số làm việc; - Hàm đọc thông tin đồng từ FPGA; - Hàm điều khiển thông báo FPGA chuyển chế độ giải điều chế Sau viết phần mềm, biên dịch nạp vào ATmega128, chương trình kiểm tra cách chạy trực tiếp bảng mạch 3.3.3 Mô giải điều chế QPSK system Generator System Generator phần mềm Xilinx cung cấp cho phép thiết kế, mô phỏng, biên dịch nạp vào FPGA Vì System Generator khơng cho phép can thiệp sâu vào mạch thiết kế System Generator sử dụng block Xilinx cung cấp sẵn thư viện có tính tổng qt cao nên mã phần mềm dịch có dung lượng lớn, chiếm nhiều tài ngun phần cứng Đó lý em không chọn viết phần mềm System Generator mà dùng System Generator vào mục đích mơ Để mơ bên thu cần tạo tín hiệu điều chế QPSK bên phát Sơ đồ bên phát tín hiệu xây dựng hình 3.31 Trong Tx_filters lọc RRC lọc tăng mẫu CIC Hình 3.31: Sơ đồ mơ phần phát tín hiệu IF điều chế QPSK Hình 3.32: Chuỗi bit phát trước vào lọc RRC tín hiệu sau lọc RRC 81 Hình 3.33: Tín hiệu phát trước sau lọc tăng mẫu CIC Như sau lọc FIR tăng mẫu CIC, tín hiệu nội suy cho hình ảnh mịn có phổ giới hạn không bị trải rộng chuỗi bit I, Q gốc Tiếp theo, tín hiệu đưa đến trộn để trộn với tín hiệu sin, cosin dao động số DDS Sau cộng ta tín hiệu QPSK hình 3.34 Hình 3.34: Tín hiệu trung tần QPSK phát Bên thu sơ đồ hệ thống mơ hình 3.35 Hình 3.35: Sơ đồ mô phần xử lý số FPGA 82 Ban đầu tín hiệu IF mang tin điều chế QPSK chia thành nhánh vào hai nhân (bộ trộn) Sau trộn tín hiệu gồm có thàh phần tần số f if + f nco f if - f nco Hình 3.36: Tín hiệu IF trước vào trộn Tiếp theo tín hiệu đưa vào lọc CIC giảm mẫu lần qua lọc FIR để loại bỏ thành phần tần số cao f if + f nco FIR FIR Hình 3.37: Tín hiệu trước sau vào lọc CIC FIR bám pha Nhìn vào hình 3.37 thấy NCO điều chỉnh bám pha với bên phát tín hiệu sau lọc tín hiệu có dạng sóng mang thơng tin tương ứng với tín hiệu sau lọc CIC bên phát Mô khơi phục sóng mang chỉnh pha thực tên sơ đồ hình 3.38 Hình 3.38: Sơ đồ mơ khơi phục sóng mang 83 Hình 3.39: Tín hiệu sau lọc FIR khơi phục sóng mang bám pha Hình 3.40: Tín hiệu trước sau lọc trung bình Hình 3.41: Tín hiệu sau định ngưỡng so sánh với bên phát Kết mô cho thấy: Tín hiệu thu khơi phục lại với tín hiệu phát đi, nhiên theo thời gian tín hiệu thu ln ln bị trễ so với tín hiệu phía phát Trên thực tế trễ gây trễ xử lý trễ đường truyền 84 3.4 CHẾ TẠO VÀ KIỂM TRA BẢNG MẠCH Để thuận lợi cho trình kiểm tra đánh giá phần máy thu điều kiện khơng có máy phát tín hiệu điều chế máy phân tích tín hiệu cần phải tự thiết kế thêm phần mạch phát tín hiệu, việc chế tạo bảng mạch gồm phần thu phần phát Cụ thể, sơ đồ đo kiểm tra hình 3.42 Trong đó, bảng mạch điều chế phát tín hiệu RF nhận luồng liệu ngẫu nhiên đóng gói theo chuẩn E1 phát từ máy đo PFA-35 Luồng liệu tốc độ 2,048kbps điều chế, trộn tần lên với sóng mang trung tần 10,24MHz FPGA đưa DAC Tiếp theo, tín hiệu lọc trộn với tín hiệu sóng mang LO Tx 150,24MHz để tín hiệu điều chế 140MHz đầu SMA bảng mạch phát Trên bảng mạch thu, sau nối loop, tín hiệu điều chế 140MHz trộn với LO Rx 150,24MHz để hạ tần xuống 10,24MHz Bộ ADC lấy mẫu trung tần đưa vào FPGA Tín hiệu trung tần điều chế hạ tần, giải điều chế, giải mã hóa FPGA Đầu bảng mạch thu tín hiệu chuẩn E1 đưa máy đo kiểm tra PFA-35, luồng liệu đưa giống luồng bit máy đo phát máy đo báo OK! (đèn đồng hiển thị xanh) Nếu ngắt nối loop máy đo thông báo số lượng bit lỗi đèn đồng hiển thị đỏ Mạch điều chế phát tín hiệu RF Mạch điều chế thu tín hiệu RF LOOP LCD LOTx 150,24MHz MCU LORx 150,24MHz Phím Mạch giao tiếp E1 Mạch clock Mạch nguồn Máy đo E1 (PFA-35) Hình 3.42: Mơ hình đánh giá bảng mạch thu tín hiệu QPSK (16-QAM) 85 Sau thiết kế phần cứng, viết phần mềm, thiết kế mạch in chuẩn bị linh kiện, bảng mạch lắp ráp hình 3.43 Hình 3.43(a): Bảng mạch thu, phát tín hiệu giao tiếp E1 Hình 3.43(b): Bảng mạch MCU LO 86 Hình 3.44: Kiểm tra phổ tín hiệu phát từ bảng mạch phát máy phân tích phổ FSQ Rohde&Schwarz Hình 3.45: Phổ tín hiệu 140MHz (QPSK) phát từ bảng mạch phát 87 Hình 3.46: Thực hành đánh giá bảng mạch Trên hình 3.46 triển khai thực tế sơ đồ đấu nối kiểm tra bảng mạch thu hình 3.42 Sau cấp tín hiệu sóng mang LO cho bảng mạch phát, nối loop đầu RF output với đầu RF input lại thấy thiết bị đo luồng PFA-35 báo OK! Có nghĩa luồng liệu thu giống luồng liệu phát Như bảng mạch sau lắp ráp đồng chỉnh hoạt động theo thiết kế 3.5 MỘT SỐ SẢN PHẨM SDR SỬ DỤNG CÔNG NGHỆ FPGA Phần em xin giới thiệu số bảng mạch, sản phẩm nghiên cứu Trung tâm Kỹ thuật thông tin công nghệ cao – BTL Thơng tin liên lạc, số đưa vào ứng dụng hệ thống thông tin quân đơn vị sử dụng đánh giá cao tính ổn định tính phù hợp với tổ chức thông tin quân đội ta 3.5.1 Thiết bị VIBA kênh thoại Thiết bị VIBA kênh thoại thiết bị thu phát vô tuyến làm việc dải tần số 790 ÷ 831MHz, có khả kéo dài kênh thoại (nén tốc độ 32kbps) từ tổng đài đến thuê bao với cự ly đường vô tuyến tối đa 25km Thiết bị thiết kế 88 sở ứng dụng công nghệ SDR theo cấu trúc trung tần gần (cấu trúc hình 1.2) Phần xử lý số, điều chế GMSK ghép/tách kênh thực FPGA Thiết bị đơn vị đóng quân vùng sâu vùng xa qn chủng Phịng khơng - Không quân sử dụng đánh giá cao độ ổn định so với phiên trước chưa sử dụng cơng nghệ SDR Hình 3.47: Thiết bị VIBA kênh phiên 01 Hình 3.48: Thiết bị VIBA kênh triển khai thử nghiệm đơn vị Trên hình 3.47 hình 3.48 thiết bị VIBA kênh phiên chế thử năm 2010 thử nghiệm đơn vị cho kết tốt 89 Hình 3.49: Bảng mạch SDR thiết bị VIBA kênh 3.5.2 Thiết bị thu phát HF/VHF SDR Đối với thông tin quân sự, thiết bị thu phát bán song cơng dải tần sóng ngắn, liên lạc qua tầng điện ly phương tiện chủ đạo chiến đấu Thiết bị thu phát sóng ngắn làm việc dải tần ÷ 50MHz thiết kế cơng nghệ SDR theo cấu trúc hồn tồn số hình 1.4 Hiện thiết bị triển khai đề tài nghiên cứu cấp BQP hồn thiện thiết kế để đưa vào sản xuất Hình 3.50: Bảng mạch lấy mẫu xử lý số thiết bị SDR dải HF/VHF 90 Hình 3.51: Thiết bị thu phát vơ tuyến SDR dải HF/VHF 3.5.3 Thiết bị thu giám sát dải tần ÷ 30MHz Để phục vụ cho công tác tổ chức, quản lý tần số dải tần quân sự, thiết bị thu giám sát dải tần ÷ 30MHz nghiên cứu chế tạo công nghệ SDR FPGA ARM Trong đó, phần máy thu phân tích phổ (FFT) thực FPGA Thiết bị thực gần hoàn toàn miền tín hiệu số Phổ tần số hiển thị cửa sổ quét có nhiều chức phụ trợ phục vụ cho nhân viên khai thác trung tâm kiểm sốt vơ tuyến Binh chủng Thơng tin liên lạc Hình 3.52: Bảng mạch phân tích phổ thiết bị giám sát vô tuyến HF 91 KẾT LUẬN VÀ KIẾN NGHỊ • Các nội dung giải luận văn: - Tìm hiểu cơng nghệ SDR, cấu trúc máy vô tuyến SDR Nắm kiến thức SDR, đặc điểm khả ứng dụng SDR thực tế; - Nghiên cứu phương pháp thiết kế SDR dịng linh kiện khả trình khác nhau, từ đánh giá khả ứng dụng, lựa chọn linh kiện cho thiết kế; - Nghiên cứu kỹ thuật xử lý tín hiệu số FPGA; - Thiết kế thiết bị thu SDR cụ thể ứng dụng công nghệ FPGA; - Chế tạo bảng mạch thực phần thiết kế từ trung tần trở xuống Đo kiểm tra tham số bảng mạch đánh giá kết đạt yêu cầu đặt • Dự kiến hướng phát triển luận văn thời gian tới gồm: - Phát triển thêm mơ hình điều chế giải điều chế khác để trở thành thiết bị cấu hình mềm thực thay đổi cấu hình mềm dẻo dạng điều chế AM, FM, SSB, PSK, QAM… phần cứng - Đưa thêm phần mã sửa sai vào hệ thống thu phát vô tuyến nhằm làm tăng độ nhạy máy thu, từ tăng cự ly truyền tin - Thiết kế phần cứng chuẩn đưa vào nhiều ứng dụng khác - Phát triển ứng dụng khác thiết phân tích phổ, hệ thống định vị vô tuyến, thiết bị thu GPS… • Kiến nghị Nghiên cứu ứng dụng công nghệ SDR điều kiện nước ta hoàn toàn khả thi Để đẩy mạnh khả nắm bắt công nghệ chiều rộng chiều sâu nhà trường cần tăng hàm lượng thực hành chương trình đào tạo kỹ sư thạc sỹ; 92 TÀI LIỆU THAM KHẢO [1] Nguyễn Quốc Trung, Xử lý tín hiệu lọc số [2] Nguyễn Quốc Bình, kỹ thuật truyền dẫn số, NXB Quân đội 2001 [3] J Vankka, Direct Digital Synthesizers: Theory, Design and Applications, Doctoral Thesis, Helsinki University of Technology, 2000 [4] System Generator for DSP, Xinlinx [5] Chris Dick, Synchronization in Software Radio – Carrier and Timing Recovery Using FPGAs, 2000 [6] GeneratorHelen Tarn, Kevin Neilson, Ramon Uribe and David Hawke, Designing Efficient Wireless Digital Up and Down Converters Leveraging CORE Generator and System [7] S.Wang, V Piuri, and E.E Swartzlander, “Hybrid CORDIC algorithms,” IEEE Trans Comput., Vol.46, No.11, pp.1202-1207, Nov 1997 [8] Digital modulation in comunucations systems, an introduction Technical report, Hewlett Packard, 2000 [9] Dr Mike Fitton Principles of digital modulation Technical report, Telecommunications Research Lab Toshiba, 2000 [10] Dirk A Baker Space-time block coding with imperfect channel estimates Master’s thesis, West Virginia University, 2001 [11] Robert D.Turney Multirate filters and wavelets: From theory to implementation Xilinx [12] Jouko Vankka Methods of mapping from phase to sine amplitude in dds IEEE international Frequency control symposium, 1996 [13] P.P Vaidyanathan Multirate Systems and Filter Banks Prentice-Hall, 1993 [14] Louis Litwin and Thomson Multimedia, Using PLLs to Obtain Carrier Synchronization 93 ... nghệ SDR xu hướng phát triển ứng dụng công nghệ SDR diễn nước ta em chọn đề tài ? ?Nghiên cứu công nghệ vô tuyến điều khiển phần mềm SDR ứng dụng máy thu vô tuyến điện? ?? Nội dung đề tài nghiên cứu. .. DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI LÊ HỒNG QUANG NGHIÊN CỨU CÔNG NGHỆ VÔ TUYẾN ĐIỀU KHIỂN BẰNG PHẦN MỀM SDR VÀ ỨNG DỤNG TRONG MÁY THU VÔ TUYẾN ĐIỆN Chuyên ngành: Điện. .. Chương 1: MÁY VÔ TUYẾN CẤU HÌNH MỀM SDR VÀ KHẢ NĂNG ỨNG DỤNG 1.1 GIỚI THIỆU CÔNG NGHỆ THIẾT KẾ MÁY VÔ TUYẾN SDR 1.2 CÁC CẤU TRÚC MÁY VÔ TUYẾN SDR 1.2.1 Máy vô tuyến SDR trung

Ngày đăng: 10/12/2021, 19:35

Mục lục

    KẾT LUẬN VÀ KIẾN NGHỊ

    TÀI LIỆU THAM KHẢO

Tài liệu cùng người dùng

Tài liệu liên quan