Bảo mật với mã đường cong elliptic trên fpga

122 9 0
Bảo mật với mã đường cong elliptic trên fpga

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đại Học Quốc Gia Tp Hồ Chí Minh TRƯỜNG ĐẠI HỌC BÁCH KHOA TÁC GIẢ LÊ LÝ QUYÊN QUYÊN BẢO MẬT VỚI Mà ĐƯỜNG CONG ELLIPTIC TRÊN FPGA Chun ngành : Kỹ Thuật Vô Tuyến Điện Tử Mã số ngành : 2.07.01 LUẬN VĂN THẠC SĨ TP HỒ CHÍ MINH, tháng 03 năm 2006 CƠNG TRÌNH ĐƯỢC HỒN THÀNH TẠI TRƯỜNG ĐẠI HỌC BÁCH KHOA ĐẠI HỌC QUỐC GIA TP HỒ CHÍ MINH Cán hướng dẫn khoa học : TS Nguyễn Như Anh Th.S Hồ Trung Myõ Cán chấm nhận xét : PGS.TS Lê Tiến Thường Cán chấm nhận xét : TS Hòang Đình Chiến Luận văn thạc sĩ bảo vệ HỘI ĐỒNG CHẤM BẢO VỆ LUẬN VĂN THẠC SĨ TRƯỜNG ĐẠI HỌC BÁCH KHOA, ngày 14 tháng 07 năm 2006 TRƯỜNG ĐẠI HỌC BÁCH KHOA CỘNG HÒA Xà HỘI CHỦ NGHĨA VIỆT NAM PHÒNG ĐÀO TẠO SĐH ĐỘC LẬP – TỰ DO – HẠNH PHÚC Tp HCM, ngày 07 tháng 03 năm 2006 NHIỆM VỤ LUẬN VĂN THẠC SĨ Họ tên học viên : Lê Lý Quyên Quyên Ngày, tháng, năm sinh: 22 -04 – 1980 Phái: Nữ Nơi sinh: An Giang Chun ngành: Kỹ Thuật Vô Tuyến Điện Tử MSHV:01404341 I- TÊN ĐỀ TÀI: BẢO MẬT VỚI Mà ĐƯỜNG CONG ELLIPTIC TRÊN FPGA II- NHIỆM VỤ VÀ NỘI DUNG: Thiết kế mã hóa b giải mã đường cong elliptic thực thi k thuật ECIES ,tiến hành mô phần mềm Matlab FPGA Xilinx Virtex-II Pro XC2VP100-ff1696 sử dụng phần mềm Xilinx ISE 7.1 III- NGÀY GIAO NHIỆM VỤ (Ngày bắt đầu thực LV ghi Quyết định giao đề tài): IV- NGÀY HOÀN THÀNH NHIỆM VỤ: V- CÁN BỘ HƯỚNG DẪN TS Nguyễn Như Anh Th.S Hồ Trung Mỹ CÁN BỘ HƯỚNG DẪN CN BỘ MƠN QL CHUN NGÀNH Nội dung đề cương luận văn thạc sĩ Hội đồng chuyên ngành thông qua Ngày tháng năm 2006 TRƯỞNG PHÒNG ĐT – SĐH TRƯỞNG KHOA QL NGÀNH Lời cảm ơn Em xin chân thành cảm ơn đến cô Nguyễn Như Anh thầy Hồ Trung Mỹ tận tình hướng dẫn định hướng cho em suốt trình hoàn thành luận văn Em chân thành cảm ơn q thầy cô trường Đại Học Bách Khoa TPHCM truyền đạt kiến thức dạy tận tình năm học vừa qua Em xin tỏ lòng biết ơn sâu sắc đến bố mẹ bạn bè quan tâm , giúp đỡ động viên em suốt trình nghiên cứu TP Hồ chí minh, tháng 03 năm 2006 Lê Lý Quyeân Quyeân ABSTRACT Cryptography plays an important role when transmitting data across a public computer network Cryptography ensures that data are transmitted with confidential, authentication, and integrity There are many cryptographic techniques to keep information secret as DES, AES, RSA, Elliptic Curve Cryptography (ECC) ECC has been receiving a lot of attention in the last years because of the benefits it offers ECC offers the same security level than RSA using smaller length key, what implies less space for key storage , saves resource This thesis presents a hardware architecture for an Elliptic Curve Cryptography System performing the cryptographic scheme ECIES (Elliptic curve Integrated Encryption Scheme).The elliptic curve cryptography system is defined over the binary field F2m , using polynomial basis, affine coordinates and the binary method to compute an saclar multiplication.ECC is simulated by using Matlab sofware and hardware architecture is described by using VHDL languages A prototype of the architecture was implemented on a Xilinx Virtex2P XC2VP100ff1696 FPGA device by using ISE 7.1 sofware of Xilinx coporation Tóm tắt Kỹ thuật mật mã đóng vai trò quan trọng trình truyền liệu qua mạng diện rộng Kỹ thuật mật mã đảm bảo liệu truyền có đầy đủ đặc tính bí mật, xác thực toàn vẹn Có nhiều kỹ thuật mật mã để bảo mật thông tin hệ mật DES, AES, RSA, hệ mật đường cong elliptic (ECC) ECC nhận nhiều lưu tâm nhiều năm qua lợi ích ECC cung cấp khả bảo mật với hệ mật khoá công khai khác RSA, với độ dài khoá nhỏ hơn,không gian lưu trữ khoá nhỏ tiết kiệm tài nguyên Luận văn nghiên cứu cấu trúc phần cứng hệ thống mật mã đường cong elliptic thực thi kỹ thuật ECIES Hệ thống mật mã đường cong elliptic định nghóa trường nhị phân F2m, dựa sở đa thức , toạ độ affine, sử dụng phương pháp nhị phân để tính toán nhân vô hướng ECC mô phần mềm Matlab cấu trúc phần cứng ECC mô tả việc sử dụng ngôn ngữ VHDL Mẫu kiến trúc thực thi FPGA Xilinx Virtex2P XC2VP100-ff1696 sử dụng phần mềm ISE 7.1 hãng Xilinx MỤC LỤC Tóm tắt Tổng quan mật mã học: 1) Giới thiệu mật mã học 2) Các hệ thống mật mã a Hệ thống mật mã khoá đối xứng b Hệ thống mật mã khoá công khai Mật mã khoá công khai: 1) Hệ mật RSA 2) Hệ mật Rabin 3) Hệ mật El Gamal 4) Hệ mật Merkle-Hellman 5) Hệ mật Chor-Rivest 10 6) Hệ mật Mc Elice 12 7) Hệ mật đường cong Elliptic 13 Các vấn đề số học hệ mật đường cong Elliptic 20 1) Nhoùm 20 2) Trường hữu hạn 21 3) Nhóm đường cong Elliptic 24 4) Phép toán nhân điểm (nhân vô hướng ) đường cong Elliptic 27 Các kỹ thuật mật mã đường cong Elliptic 29 1) Các tham số miền 29 2) Các kỹ thuật mật mã đường cong Elliptic 30 ƒ ECDH 30 ƒ ECIES 30 ƒ ECDSA 32 Thiết bị logic lập trình (PLD) 34 1) Lịch sử programmable logic 34 2) Công nghệ FPGA 35 3) Cấu hình thiết bị 46 4) Hoï FPGA Xilinx Spartan IIE - XC2S200E 57 Thiết kế phần cứng hệ thống mật mã đường cong elliptic 59 1) Cấu trúc tổng quan hệ thống mật mã 59 2) Đặc điểm kỹ thuật cấu trúc module hệ thống 61 3) ECC_processor 74 Thực thi hệ mật đường cong elliptic Matlab FPGA 83 1) Thực hệ thống mật mã đường cong Elliptic 83 baèng phần mềm mô Matlab 2) Thực hệ thống mật mã đường cong Elliptic FPGA 95 Tổng kết 108 1) Keát thực 108 2) Kiến nghị nghiên cứu 109 Phụ lục A Chương trình Matlab thực tính toán hệ mật đường cong Elliptic A-1 B Chương trình VHDL thực tính toán hệ mật đường cong Elliptic Tài liệu tham khảo B-1 Chương : Tổng quan mật mã học Chương TỔNG QUAN VỀ MẬT Mà HỌC 1) Giới thiệu mật mã học: Mật mã học vấn đề thiết kế phân tích kỹ thuật thuộc toán học mà cho phép ta bảo mật thông tin tránh khỏi xâm phạm thám mã Sơ đồ khối kiểu thông tin bản: Kênh không an toàn A B E Hình 1.1 : Kiểu Thông Tin Cơ Bản A liên lạc, trao đổi thông tin với B qua kênh không an toàn liên lạc diễn với diện E đối thủ đe dọa xâm nhập vào liên lạc lúc E nghe trộm trao đổi, chỉnh sửa messages A B gửi cho Đưa vấn đề cần phải bảo mật thông tin trình liên lạc A B Bảo mật với mã đường cong Elliptic FPGA Trang Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA Ta không thực module thành project riêng rẽ, mà tổ chức thành functions , procedures để gọi dễ dàng mà không bị ràng buộc nằm hay nằm process Tạo package có tên package_field chứa hàm multiplier, inverter, degree (bậc) Trong function trình tính toán mặc định xử lý Đầu tiên khai báo số constant m : integer := 163; m đặc số trường số bit yếu tố trường, tiếp khai báo function, procedure Phần xử lý tính toán thực package body, cuối function phải có giá trị trả Trong VHDL bit bit có trọng số cao (MSB), bit cuối bit có trọng số thấp (LSB) Tạo function multiplier, inverter theo giải thuật đề cập nhiều lần, có hàm tính bậc degree để hổ trợ cho hàm inverter Các module ECC_Add, ECC_Double tổ chức thành procedure package có tên package_ecc Sở dó tạo procedure cho phép có nhiều giá trị trả về, function giá trị trả về, package sử dụng function multiplier, inverter nằm package_field, gọi : library work; use work package_field.all; toạ độ x, y điểm ta xem signal riêng biệt, signal có chiều dài m bit Thực tính thủ tục ECC_Add, ECC_Double theo trình tự giải thuật nêu phần 6.3 Khi có đầy đủ module con, ta tiến hành tổ chức nhân vô hứơng ECC_Processor, nhân vô hướng thiết kế đồng với xung clock Bảo mật với mã đường cong Elliptic FPGA Trang 99 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA library work; use work package_field.all; use work package_ecc.all; Khai báo input, output sau: entity ecc_processor is generic (m:integer:=163); Port ( clk : in std_logic; reset :in std_logic; P_x1 : in std_logic_vector(m-1 downto 0); P_y1 : in std_logic_vector(m-1 downto 0); k : in std_logic_vector(m-1 downto 0); f : in std_logic_vector(m downto 0); a : in std_logic_vector(m-1 downto 0); ready_in:in std_logic; ready_out :out std_logic; R_x3 : out std_logic_vector(m-1 downto 0); R_y3 : out std_logic_vector(m-1 downto 0)); end ecc_processor; Để sử dụng hàm thủ tục package_field , package_ecc ta tiến hành gọi chúng từ thư viện work, tham số miền có tín hiệu điều khiển ready_in ready_out, ready_in =’1’ xuất kết ready_out=’1’ việc tính toán kết thúc Tổ chức nhân vô hướng không sử dụng vòng lặp mà giống đếm tăng lên 1, lần tăng thực tính toán ECC_ADD, ECC_DOUBLE theo giải thuật chuẩn, tăng đến giá trị m dừng lại xuất kết Tín hiệu reset =’1’ tạo giá trị ban đầu, reset=’0’ thực tính toán Bảo mật với mã đường cong Elliptic FPGA Trang 100 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA Bộ nhân vô hướng ECC_Processor mô phần mềm ISE sau Chương trình thực phép nhân vô hướng kP trường F24 m = 4; %f(x)= x4 + x + f = ‘10011’ a = ‘1000’ b = ‘1001’ n = 11 P_x1 = ‘1000’ P_y1 = ‘0001’ với k =5 , ta có kết từ chương trình 5P =(11, 2) kết phù hợp với ví dụ nêu phần 6.6 Bảo mật với mã đường cong Elliptic FPGA Trang 101 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA 2.7 Bộ tạo số ngẫu nhiên RNG: tạo số ngẫu nhiên 163 bit, ta thực ghép tạo số ngẫu nhiên random(32 bit), tạo số ngẫu nhiên random (3 bit) để tạo thành RNG 2.8 Module tạo khoá :module tạo khoá công khai khoá bí mật sử dụng cho trình mã hoá giải mã, có khai báo component ecc_processor, RNG, sử dụng package package_field, package_ecc để tính toán, tổ chức đồng với xung clock, có đầy đủ tín hiệu reset, ready, load Tham khảo gỉai thuật để tính toán tương đối đơn giản phần 1.7.3 Tạo package để chứa tham số miền có tên packageparameter 2.9 Module ENCRYPTION: Thực gọi tất package tạo trước library work; use work.pro_package_field.ALL; use work.pro_package_ecc.ALL; use work.package_sha_1.ALL; use work.package_kdf_hmac.ALL; use work.package_parameter.ALL; khai baùo caùc component ecc_processor, tao_khoa, RNG, khai baùo input output sau: entity ENCRYPTION is generic( len:integer:= 80; m: integer :=163); Port (clk : in std_logic; reset :in std_logic; load : in std_logic; - load the initial value cin : in std_logic; - carry in bit to the shift register din : in std_logic_vector(m-1 downto 0); - seed value in Bảo mật với mã đường cong Elliptic FPGA Trang 102 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA message: in std_logic_vector(len-1 downto 0); ciphertext : out std_logic_vector(2*m+len+159 downto 0)); end ENCRYPTION; len độ dài message cần mã hoá, m : đặc số trường Sử dụng module có sẵn, thực tính toán theo giải thuật nêu phần 4.2.2 (xem phụ lục B thể rõ) 2.10 Module DECRYPTION Thực gọi package, component tương tự với module mã hoá, khai báo input output sau: entity DECRYPTION is generic(len:integer:=80; m: integer :=163); Port ( clk : in std_logic; reset :in std_logic; ciphertext : in std_logic_vector(2*m+len+159 downto 0); message : out std_logic_vector(len-1 downto 0)); end DECRYPTION; Sử dụng module, hàm ,thủ tục có sẵn, thực tính toán theo giải thuật nêu phần 4.2.2 Module EXECUTE : module kết hợp trình mã hoá giải mã Message vào mã hoá sau tiến hành giải mã Quá trình mã hoá giải mã kết hợp chung module EXECUTE để ta dễ quan sát so sánh kết cuối Bảo mật với mã đường cong Elliptic FPGA Trang 103 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA Ví dụ : mã hoá message =’ccddeeff4567’ xét trường F24, với tham số miền nêu ,mô module EXCUTE phần mềm ISE sau: message sau mã hoá thành ciphertext, bộâ giải mã khôi phục lại message gốc plaintext Ví dụ: mã hoá message 48 bit =’bbbbccccdddd’ xét trường F2163, với tham số miền sau: m=163 %f(x)=x.^163 + x.^7 + x.^6 + x.^3+1; f = '400000000000000000000000000000000000000c9'; a = '1'; b = '20a601907b8c953ca1481eb10512f78744a3205fd'; Bảo mật với mã đường cong Elliptic FPGA Trang 104 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA n = '40000000000000000000292fe77e70c12a4234c33'; Gx = '3f0eba16286a2d57ea0991168d4994637e8343e36'; Gy = '0d51fbc6c71a0094fa2cdd545b11c5c0c797324f1'; giống trường F24 , ta thực thành công trình mã hoá giải mã mô module EXCUTE , message khôi phục lại trạng thái ban đầu Bảo mật với mã đường cong Elliptic FPGA Trang 105 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA Ví dụ: mã hoá message 100 bit =’0aaaaeeee44455556666cc876’ xét trường F2163, với tham số miền trên: Quá trình mã hoá giải mã mô module EXCUTE , message qua trình mã hóa thành ciphertext khôi phục lại trạng thái ban đầu Bảo mật với mã đường cong Elliptic FPGA Trang 106 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA Chương TỔNG KẾT Kết thực : Kết synthesis cho module HMAC, KDF, E (symmetric encryption), ECC_PROCESSOR họ FPGA Xilinx Virtex2P XC2VP100ff1696 Module Slices HMAC 26,218 (59%) KDF 12,688 (28%) E 48 (1%) ECC_PROCESSOR 38,569 (87%) Đã thực thành công trình mã hoá giải mã Matlab FPGA ,thiết kế phần cứng ngôn ngữ VHDL Bảo mật với mã đường cong Elliptic FPGA Trang 107 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA Tham khảo kết Timing (ms) nhân vô hướng ECC_Processor thiết bị khaùc Reference Fq Platform Time (ms) [20] F2163 XC2V 2000E-7 0.14 [19] F2160 ASIC 0.19 [17] F2167 Xilinx XCV400E-8 0.21 [7] F2155 Xilinx XCV300-4 6.8 Tham khảo kết Timing (ms) hoạt động mã hoá giải mã thiết bị khác Reference Fq Encryption Decryption Platform [21] F175 28.8 13.3 PIII 933 MHz [22] F2163 6.67 4.69 PII 400 MHz Kiến nghị nghiên cứu tiếp theo: Kỹ thuật mật mã ECIES kỹ thuật hứa hẹn áp dụng rộng rãi tương lai Để cải thiện thời gian cho hệ thống mật mã , ta áp dụng nhiều phương pháp tính toán xử lý khác nhân vô hướng ECC_Processor, với giải thuật cho nhân trường Bảo mật với mã đường cong Elliptic FPGA Trang 108 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA áp dụng phương pháp Digit-Serial multiplication, đảo sử dụng phương pháp Itoh-Tsujii inversion, sử dụng toạ độ Projective thay toạ độ affine Gỉai thuật nhân vô hướng sử dụng phương pháp Montgomery Các giải thuật cải tiến giúp cho việc tính toán nhanh hiệu nhiều.Hoặc thay tổ chức chương trình VHDL theo kiểu behavioral tổ chức theo kiểu systolic structure (cấu trúc ly tâm), cải thiện đáng kể mặt thời gian Bảo mật với mã đường cong Elliptic FPGA Trang 109 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA TÀI LIỆU THAM KHAÛO [1] Handbook of Applied Cryptography, Alfred J Menezes, Paul C Van Oorschot , Scott A Vanstone,1997 [2] Springer Verlag-Guide to Elliptic Curve Cryptography, Darrel Hankerson, Alfred Menezes, Scott Vanstone ,2004 [3] Gíao Trình Mật Mã Học , Tổng Công Ty Bưu Chính Viễn Thông Việt Nam, Học Viện Công Nghệ Bưu Chính Viễn Thông, 01-2004 [4] Kenvin Skahill-VHDL for Programmable Logic.ISBN 0-201-89573-0, Addison Wesley Publishing Company, INC 1996 [5] Altera Corporation Max + plus II, Programmble Logic Development System, Getting Started.1997 [6] Elliptic Curve Cryptography in Embedded System, Vinu Vijay Kumar, Vinay M Igure, 04-2001 [7] FPGA Implementation Of A Microcoded Elliptic Curve Cryptography Processor, K.H.Leung, K.W.Ma, W.K.Wong And P.H.W Leong, Department Of Computer Science And Engineering, The Chinese University Of Hong Kong, 01-2002 [8] Reconfigurable Implementation of Elliptic Curve Crypto Algorithms , M Bednara, M Daldrup, J von zur Gathen, J Shokrollahi, J Teich, University of Paderborn, Paderborn, Germany, 08-2003 [9] An FPGA Implementation Of An Elliptic Curve Processor over GF(2m), Nele Mentens, Skiddika Berna Ors, Bart Preneel, K.U.Leuven, ESAT/COSIS, 052005 Bảo mật với mã đường cong Elliptic FPGA Trang 110 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA [10] Implementation Of Elliptic Curve Cryptographic Coprocessor over GF(2m) on an FPGA, Souichi Okada, Naoya Torii, Kouichi Itoh, And Masahiko Takenaka, Fujitsu Laboratories Ltd, 64 Nishiwaki, Ohkubo-Cho, A Kashi 674-8555, Japan, 11-2002 [11] On the Hardware Design of An Elliptic Curve Cryptosystem, Miguel Morales-Sandoval And Claudia Feregrino, Uribe National Institute For Astrophisics, Optics And Electronics, Computer Science Department, 12-2004 [12] Low Power Elliptic Curve Cryptography, Erdinc Ozturk, A Thesis Submitted To The Faculty Of The Worcester Polytechnic Institute, in Electrical Engineering , 08-2005 [13] Cryptography on FPGAs: State of the Art Implementations and Attacks, Thomas Wollinger, Communication Security Group (COSY) - Ruhr-Universită at Bochum, Jorge Guajardo, Infineon Technologies AG, Secure Mobile Solutions Division, and Christof Paar, Communication Security Group (COSY) - Ruhr-Universită at Bochum, Special Issue on Embedded Systems and Security of the ACM Transactions in Embedded Computing Systems (TECS), 03-2003 [14] Implementing Elliptic Curve Cryptography (a narrow survey) Institute of Computing – UNICAMP Campinas, Brazil, Darrel Hankerson, Auburn University, 04-2005 [15] P H W Leong, and K H Leung, “A Microcoded Elliptic Curve Processor using FPGA Technology,” IEEE Transactions on Very Large Scale Integration Systems (to appear) 05-2004 http://www.cse.cuhk.edu.hk/~phwl/papers/ecc_tvls Baûo mật với mã đường cong Elliptic FPGA Trang 111 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA [16] Elliptic Curve Cryptography and Its Applications to Mobile Devices Wendy Chou, University of Maryland, College Park Advisor: Dr Lawrence Washington, Department of Mathematics 11-2003 [17] G.Orlando and C.Paar, “ A High- Performance Reconfigurable Elliptic Curve Processor for GF (2m)”, in Pro of the Second International Workshop on Cryptographic Hardware and Embedded System, CHES’2000, vol 1965 of Lecture Notes in Computer Science, (Worcester, MA), pp.41-56, springer, August 2000 [18] D Hankerson, L L´opez, and A Menezes Software Implementation of Elliptic Curve Cryptography over Binary Fields In Proc.ofCHES’2000, volume 1965 of LNCS, pages 1–24, Worcester, MA, August 2000 Springer [19] A Satoh and K.Takano, “A Scalable Dual- Field Elliptic Curve Cryptographic Processor ,” Transactions on computer, vol.52, pp 449-460, April 2003 [20] H.Eberle, N Gura, and S Chang, “A Cryptographic Processor for Arbitrary Elliptic Curve over GF(2m)”, in proc of IEEE 14 th International Conference on Application- Specific System, Architecture and Processors, ASAP’ 2003, the Hague, The Netherlands, pp.444-454, June 2003 [21] E Konstantinou, Y Stamatiou, and C Zaroliagis, “Software Library for Elliptic Curve Cryptography,” in proc of 10th ANnual European Symposium on Algorithms, ESA’2002, vol 2461 of Lecture notes in Computer Science, (Rom, Italy), pp 625-637, Springer, Septemper 2002 [22] M.Brown et al, “ PGP in constrained wireless devices,” in proc of the 9th USENIX Security Symposium, (Denver, Colorado), pp 247-262, August, 2000 Bảo mật với mã đường cong Elliptic FPGA Trang 112 Chương : Thực thi hệ mật đường cong Elliptic MATLAB FPGA LÝ LỊCH TRÍCH NGANG Họ tên : Lê Lý Quyên Quyên Ngày sinh : 22 / 04 / 1980 Nơi sinh : Mỹ Thới-An Giang Địa liên lạc : 161 Thành Thái, Phường 14, Quận 10, TPHCM Quá trình đào tạo : 1997 – 2002 : Học trường Đại Học giao thông vận tải , Thủ Đức, TPHCM 2004 – 2006 : Học trường Đại Học Bách Khoa TPHCM Quá trình công tác : 2003 – 2006 : công tác công ty TNHH TMDV Tin Học TÂN PHÚ KHANG Bảo mật với mã đường cong Elliptic FPGA Trang 113 ... bí mật Mật mã khoa ùcông khai chậm mật mã khoá đối xứng hiệu bảo mật cao Bảo mật với mã đường cong Elliptic FPGA Trang Chương : Tổng quan mật mã học Thám mã Bản rõ Nguồn tin Bản mã Bản mã Bộ mã. .. hướng Bảo mật với mã đường cong Elliptic FPGA Trang 28 Chương 4: Các thuật toán mật mã đường cong Elliptic Chương CÁC THUẬT TOÁN MẬT Mà ĐƯỜNG CONG ELLIPTIC 1) Các tham số miền : E đường cong elliptic. .. -a3 ≠ Đường cong elliptic gọi đường cong supersingular Bảo mật với mã đường cong Elliptic FPGA Trang 17 Chương : Mật mã khóa công khai Điểm (x,y) với x,y ∈ K điểm đường cong (x,y) thoả mãn pt

Ngày đăng: 10/02/2021, 22:24

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan