Công nghệ soc (system on chip) ứng dụng vào giám sát và điều khiển tín hiệu giao thông dùng logic mờ đhqg tp hồ chí minh đại học bách khoa, 2005 b

92 23 0
Công nghệ soc (system on chip) ứng dụng vào giám sát và điều khiển tín hiệu giao thông dùng logic mờ    đhqg tp  hồ chí minh   đại học bách khoa,  2005  b

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

ĐẠI HỌC QUỐC GIA THÀNH PHỐ HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC BÁCH KHOA XY ZW PHAN VĂN CA CÔNG NGHỆ SoC (SYSTEM ON CHIP) ỨNG DỤNG VÀO GIÁM SÁT VÀ ĐIỀU KHIỂN TÍN HIỆU GIAO THÔNG DÙNG LOGIC MỜ Chuyên ngành: KỸ THUẬT VÔ TUYẾN VÀ ĐIỆN TỬ Mã số ngành: 2.07.01 LUẬN VĂN THẠC SĨ Thành phố Hồ Chí Minh, tháng 07/2005 CÔNG TRÌNH ĐƯC HOÀN THÀNH TẠI TRƯỜNG ĐẠI HỌC BÁCH KHOA ĐẠI HỌC QUỐC GIA THÀNH PHỐ HỒ CHÍ MINH Cán hướng dẫn khoa học: PGS.TS LÊ TIẾN THƯỜNG Cán chấm nhận xét 1: GS.TS ĐẶNG LƯƠNG MÔ Cán chấm nhận xét 2: TS NGUYỄN ĐỨC THÀNH Luận văn thạc só bảo vệ HỘI ĐỒNG CHẤM BẢO VỆ LUẬN VĂN THẠC SĨ TRƯỜNG ĐẠI HỌC BÁCH KHOA TP.HCM, ngày 22 tháng 07 năm 2005 ĐẠI HỌC QUỐC GIA TP HCM TRƯỜNG ĐẠI HỌC BÁCH KHOA CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc Lập – Tự Do – Hạnh Phúc NHIỆM VỤ LUẬN VĂN THẠC SĨ Họ tên học viên: PHAN VĂN CA Ngày, tháng, năm sinh: 18/06/1979 Chuyên ngành: Kỹ thuật Vô tuyến- Điện tử Phái: Nam Nơi sinh: Quảng Nam MSHV: 01403307 I TÊN ĐỀ TÀI: Công nghệ SoC (system on chip) ứng dụng vào giám sát điều khiển tín hiệu giao thông dùng logic mờ II NHIỆM VỤ VÀ NỘI DUNG: − Nghiên cứu lý thuyết logic mờ, hệ thống điều khiển mờ, nguyên lý hoạt động hệ thống điều khiển đèn tín hiệu giao thông − Nghiên cứu thiết kế hệ điều khiển mờ dùng hệ thống điều khiển đèn giao thông thích nghi với lưu lượng giao thông giao lộ điều khiển − Nghiên cứu mô hệ thống đèn tín hiệu giao thông điều khiển mờ máy tính − Nghiên cứu cài đặt phần cứng hệ thống điều khiển tín hiệu đèn giao thông công nghệ FPGA III NGÀY GIAO NHIỆM VỤ: 17/01/2005 IV NGÀY HOÀN THÀNH NHIỆM VỤ: 30/06/2005 V HỌ VÀ TÊN CÁN BỘ HƯỚNG DẪN: PGS.TS LÊ TIẾN THƯỜNG CÁN BỘ HƯỚNG DẪN CHỦ NHIỆM NGÀNH BỘ MÔN QUẢN LÝ NGÀNH Nội dung đề cương luận văn thạc só Hội Đồng Chuyên Ngành thông qua Ngày tháng năm 2005 PHÒNG ĐÀO TẠO SĐH KHOA QUẢN LÝ NGÀNH LỜI CẢM ƠN Tôi xin chân thành bày tỏ lòng biết ơn kính trọng sâu sắc đến Thầy Giáo Hướng dẫn PGS-TS LÊ TIẾN THƯỜNG công tác Khoa Điện-Điện tử, trường ĐH Bách khoa, ĐH Quốc Gia Tp.Hồ Chí Minh tận tình hướng dẫn, giúp đỡ hoàn thành luận văn thạc só Xin chân thành cảm ơn Quý Thầy Cô tận tình giảng dạy, hướng dẫn giúp đỡ tron trìnhg học tập nghiên cứu khoa học Chân thành cảm ơn Phòng Đào Tạo Sau Đại Học, trường ĐH Bách Khoa Tp Hồ Chí Minh tạo điều kiện tốt cho suốt khóa học Chân thành cám ơn đồng nghiệp trường ĐH GTVT Tp.HCM, bạn bè gia đình ủng hộ, giúp đỡ trình học tập thực luận văn tốt nghiệp Tác giả xin bày tỏ lòng biết ơn chân thành sâu sắc Tp Hồ Chí Minh, tháng 7/2005 PHAN VĂN CA TÓM TẮT LUẬN VĂN THẠC SĨ Nội dung trình bày luận văn thạc só “CÔNG NGHỆ SOC (SYSTEM ON CHIP) ỨNG DỤNG VÀO GIÁM SÁT VÀ ĐIỀU KHIỂN TÍN HIỆU GIAO THÔNG DÙNG LOGIC MỜ” bao gồm sáu chương sau: Chương 1: GIỚI THIỆU ĐỀ TÀI Chương trình bày lý chọn đề tài, mục tiêu đề tài, đối tượng phạm vi nghiên cứu, giới thiệu tổng quan tình hình nghiên cứu nước giới có liên quan đến đề tài, nội dung phương pháp nghiên cứu, ý nghóa khoa học thực tiễn đề tài Chương 2: CƠ SỞ LÝ THUYẾT Nội dung chương trình bày lý thuyết liên quan đến nội dung thực đề tài gồm lý thuyết logic mờ, lý thuyết hệ thống điều khiển mờ, lý thuyết phần cứng FPGA, lý thuyết hệ thống điều khiển tín hiệu giao thông Chương 3: PHÂN TÍCH THIẾT KẾ Nội dung chương trình bày phần phân thích thiết kế hệ thống điều khiển mờ tín hiệu giao thông cho giao lộ độc lập Đề tài vào phân tích thiết kế ba mô hình điều khiển mờ đèn tín hiệu giao thông gồm hệ thống điều khiển nguyên lý, hệ thống điều khiển theo chu kỳ pha hệ thống điều khiển theo chu kỳ pha mở rộng Mỗi hệ thống phân tích thiết kế mức chi tiết từ phần thiết hệ hệ thống điều khiển mô hình phần cứng cài đặt Chương 4: MÔ PHỎNG BẰNG PHẦN MỀM Nội dung chương trình bày chương trình thực mô thiết kế máy tính Trong đó, trọng tâm chương trình cài đặt khởi tạo nội dung ROM cho hệ thống điều khiển Ngoài ra, đề tài xây dựng chương trình mô hệ thống điều khiển đèn tín hiệu giao thông giao lộ với hai chức điều khiển mờ điều khiển theo chu kỳ cố định Chương 5: THỰC HIỆN MÔ HÌNH PHẦN CỨNG Nội dung chương bao gồm phần liên quan đến việc thực mô hình phần cứng cụ thể cho hệ thống điều khiển Giải pháp chọn thiết kế mô hình phần cứng tiếp cận công nghệ SoC mô hình phần cứng xây dựng chip FPGA Phần trình bày ngôn ngữ HDL, phần cứng FPGA, chương trình CAD FPGA, sơ đồ thiết kế toàn qui trình thiết kế phần cứng hệ thống điều khiển mờ tín hiệu giao thông thiết kế chương kết mô hình Chương 6: KẾT LUẬN Nội dụng chương trình bày tóm lược kết đạt phần nhận xét đánh giá kết đạt đề xuất hướng phát triển đề tài Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường MỤC LỤC CHƯƠNG GIỚI THIỆU 1.1 GIỚI THIỆU 1.2 TỔNG QUAN TÌNH HÌNH NGHIÊN CỨU .4 1.2.1 Tình hình nghiên cứu nước 1.2.2 Tình hình nghiên cứu giới 1.3 MỤC TIÊU, ĐỐI TƯNG VÀ PHẠM VI NGHIÊN CỨU 1.3.1 Mục tiêu đề tài 1.3.2 Đối tượng phạm vi nghiên cứu 1.4 NỘI DUNG VÀ PHƯƠNG PHÁP NGHIÊN CỨU 1.4.1 Nội dung nghiên cứu 1.4.2 Phương pháp nghiên cứu 1.5 Ý NGHĨA ĐỀ TÀI 1.5.1 Ý nghóa khoa học 1.5.2 Ý nghóa kinh tế xã hội CHƯƠNG CƠ SỞ LÝ THUYẾT 2.1 LOGIC MỜ 2.1.1 Biến ngôn ngữ 2.1.2 Tập mờ hàm thuộc 10 2.1.3 Các phép toán tập mờ 13 2.1.4 Luật hợp thành If-Then 14 2.2 HEÄ THỐNG ĐIỀU KHIỂN MỜ .16 2.2.1 Mờ hoá 16 2.2.2 Cơ sở luật mờ .17 2.2.3 Hệ suy diễn mờ 17 2.2.4 Giải mờ 18 2.3 HỆ THỐNG ĐIỀU KHIỂN TÍN HIỆU GIAO THÔNG .18 2.3.1 Chu kỳ tín hiệu giao thông 18 2.3.2 Nguyên tắc điều khiển giao thông 19 2.4 FPGA .24 2.4.1 Giới thiệu .24 2.4.2 Kiến trúc FPGA 25 2.4.3 Phaùt triển ứng dụng FPGA 28 CHƯƠNG PHÂN TÍCH THIẾT KẾ 29 3.1 MÔ HÌNH TỔNG THỂ HỆ THỐNG 29 3.1.1 Hàm thuộc 30 3.1.2 Luật hợp thành .31 3.1.3 Xử lý mờ .31 3.2 HỆ THỐNG ĐIỀU KHIỂN THEO CHU KỲ PHA 36 3.2.1 Các tiêu chuẩn ràng buộc thiết kế 37 3.2.2 Thiết kế hệ thống điều khiển theo chu kỳ pha 37 3.3 HỆ THỐNG MỜ ĐIỀU KHIỂN THEO CHU KỲ PHA MỞ RỘNG .41 3.3.1 Các tiêu chuẩn ràng buộc thiết kế 42 3.3.2 Thiết kế hệ thống điều khiển theo chu kỳ pha mở rộng 42 3.4 THIẾT KẾ PHẦN CỨNG TRÊN FPGA 44 CHƯƠNG MÔ PHỎNG BẰNG PHẦN MỀM 46 4.1 CHƯƠNG TRÌNH TẠO BẢNG LUT CHO PHẦN CỨNG 46 KS Phan Văn Ca - Học viên cao học khoá 2003 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường 4.1.1 Giới thiệu .46 4.1.2 Cài đặt chương trình hệ điều khiển theo chu kỳ pha 47 4.1.3 Cài đặt chương trình hệ điều khiển theo chu kỳ pha mở rộng 55 4.2 CHƯƠNG TRÌNH MÔ PHOÛNG 56 4.2.1 Giao diện chương trình .56 4.2.2 Thuật toán cài đặt 56 4.2.3 Kết mô .57 CHƯƠNG THỰC HIỆN PHẦN CỨNG 59 5.1 GIỚI THIỆU 59 5.2 NGOÂN NGỮ MÔ TẢ PHẦN CỨNG (HDL) 59 5.2.1 Giới thiệu .59 5.2.2 Sơ đồ luồng thiết tổng quát với ngôn ngữ HDL 60 5.3 BOARD PHÁT TRIỂN FPGA 64 5.3.1 Kiến trúc họ Spartan 64 5.3.2 Board phát triển Spartan 65 5.4 CÔNG CỤ TỔNG HP VHDL ISE .67 5.4.1 Giới thiệu .67 5.4.2 Module taïo CORE 69 5.5 CÀI ĐẶT MÔ HÌNH PHẦN CỨNG .70 5.5.1 Mô hình tổng thể phần cứng cài đặt 70 5.5.2 Module nhớ ROM 71 5.5.3 Sơ đồ mạch mức chuyeån ghi RTL 72 5.5.4 Sơ đồ mạch hệ thống mức cổng thư viện công nghệ .77 5.5.5 Tóm lược thiết kế 82 CHƯƠNG KẾT LUẬN 83 6.1 KẾT QUẢ CỦA ĐỀ TÀI .83 6.2 ĐÁNH GIÁ KẾT QUẢ CỦA ĐỀ TÀI .85 6.3 HƯỚNG PHÁT TRIỂN CỦA ĐỀ TÀI .85 TÀI LIỆU THAM KHẢO 86 KS Phan Văn Ca - Học viên cao học khoá 2003 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường Astract The most desired factor in a traffic controller at an intersection is that it should be adaptive to any changes in the traffic flow In case of the traffic controllers that are normally used, the relative durations of the red and green phases are determined by computer programming based on the traffic pattern at an intersection But these traffic controllers are not adaptive because the settings can only be altered manually or by computer commands sent by the traffic control center This problem is solved by using a fuzzy traffic controller, which is capable of signaling adaptively at an intersection The intent of this study is to propose a design methodology for modeling fuzzy controllers burned on FPGAs In recent years, the interest in implementing fuzzy logic controllers using FPGAs and ASICs technologies has been steadily increasing There have been attempts to combine VHDL for design capture and VHDL-based logic synthesis for designing complex hardware This thesis suggests the use of state diagrams for capturing a rule base of a fuzzy control system The advantage of using this high- level approach is that the design time is reduced significantly and different ways of designing a rule base can be explored The fuzzifier and defuzzifier components of the fuzzy system are described using VHDL code as it involves considerable amount of mathematical computations A rule base for the controller is described using the state diagrams and this rule base is obtained by using matlab code The output of matlab code is stored in ROM which is used in VHDL code A complete description of the system is assembled in VHDL and is synthesized using VHDL-based logic synthesis KS Phan Văn Ca - Học viên cao học khoá 2003 Bộ điều khiển mờ tín hiệu đèn giao thông Chương 1.1 THD: PGS.TS Lê Tiến Thường GIỚI THIỆU GIỚI THIỆU Giám sát điều khiển lưu lượng giao thông đô thị vấn đề lớn nhiều quốc gia Đặc biệt vài thập niên trở lại đây, tình trạng ách tắc giao thông đô thị vấn đề nan giải đô thị lớn nhỏ toàn giới Tình trạng cải thiện có hệ thống giám sát điều khiển lưu lượng giao thông hiệu Hệ thống đèn tín hiệu giao thông lắp đặt giao lộ để điều khiển lưu lượng giao thông phần mạng lại kết thiết thực Tuy nhiên, hệ thống đèn tín hiệu hoạt động theo chu kỳ định sẵn lưu lượng giao thông đường lại phụ thuộc vào thời điểm ngày vậy, hệ thống tồn nhiều khuyết điểm thời gian chờ phương tiện giao thông giao lộ lâu, điều làm tăng chi phí vận chuyển, gây ô nhiễm môi trường gây ách tắc giao thông Chính thế, hệ thống điều khiển cần làm thông minh để thích nghi với tình cụ thể Hệ thống điều khiển mờ xây dựng công cụ logic mờ công nghệ ứng dụng rộng rãi nhiều lónh vực Hệ thống điều khiển logic mờ có nhiều điểm ưu việt so với hệ thống điều khiển theo logic cổ điển Hệ thống mờ cho phép điều khiển thích nghi theo tham số biến vào Chính vậy, hệ thống thông minh thích nghi so với hệ thống điều khiển cổ điển [14] Luận văn vào nghiên cứu xây dựng hệ thống điều khiển mờ tín hiệu giao thông công nghệ phần cứng SoC (System on a chip) Hệ thống cho phép tự động giám sát điều khiển lưu lượng giao thông giao lộ cách hoàn toàn thích nghi với lưu lượng giao thông đường 1.2 TỔNG QUAN TÌNH HÌNH NGHIÊN CỨU 1.2.1 Tình hình nghiên cứu nước Vào năm 2000 công trình luận văn Thạc sỹ “Nghiên cứu ứng dụng Fuzzy Logic Neural Networks điều khiển đèn giao thông”õ Nguyễn Văn Sơn vào tìm hiểu mạng neural logic mờ để xây dựng hệ thống điều khiển đèn giao thông Đề tài có kết luận bước đầu tính ưu việt hệ thống Tuy nhiên, đề tài dùng lại việc nghiên cứu lý thuyết mô phần mềm chưa đưa phương án khả thi việc giám sát lưu lượng giao thông phương pháp xây dựng hệ điều khiển mờ chưa thiết kế mô hình phần cứng phù hợp [8] Đề tài nghiên cứu khoa học cấp thành phố “Nghiên cứu hệ thống điều khiển tự động đèn tín hiệu giao thông cho khu vực Tp.HCM” TS Nguyễn Thị Phương Hà, môn Điều khiển Tự động, Trường đại học Bách khoa Tp.HCM đạt số kết Đề tài nghiên cứu xử lý tín hiệu từ cảm biến đo lưu lượng giao thông, xây dựng hệ thống điều khiển tay, sóng xanh, tự động Ngoài ra, hệ thống cho phép giao KS Phan Văn Ca - Học viên cao học khoá 2003 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường tiếp với máy tính can thiệp trực tiếp việc giải toả điểm nóng gây ùn tắc giao thông [18] 1.2.2 Tình hình nghiên cứu giới Đề tài “Mô lưu lượng giao thông điều phối logic mờ” nhóm tác giả Bing W Kwan, Khue Ngo-Quoc, Vijayanand Lakshminarayan vaø Leonard J Tung khoa Kỹ thuật Máy tính Điện tử, đại học bang Florida đến kết luận hệ thống điều khiển mờ giảm thiểu đáng kể thời gian chờ phương tiện lưu thông giao lộ [15] Đề tài “Bộ điều khiển tín hiệu giao thông logic mờ” tác giả Jarkko Niittymaški công bố hội nghị quốc tế hệ thống IEEE vào năm 2000 Đề tài triển khai thực nghiệm số giao lộ Vantaa, Phần Lan Từ kết mô thực nghiệm đề tài đến kết luận điều khiển logic mờ làm việc hiệu nhiều so với hệ thống điều khiển cổ điển qua việc giảm tối đa thời gian chờ cho phương tiện giao thông [11], [12], [13] Đề tài “Tín hiệu điều khiển thông minh sử dụng logic mờ” tác giả Sanal.R.P công bố hội nghị khoa học Kerala diễn Idukky, Kerala, Ấn độ năm 1999 Đề tài phát triển hệ thống điều khiển mờ giao lộ độc lập hai đường chiều Hệ thống điều khiển thiết kế gồm ba biến mờ ngõ vào gồm thời gian đèn xanh tại, lưu lượng xe đến hướng đèn xanh lưu lượng xe chờ hướng đèn đỏ Ngõ thời gian đèn xanh mở rộng Mỗi ngõ vào mã hoá ba hàm thuộc hình chuông Đề tài xây dựng quy trình thiết kế hệ thống mờ điều khiển tín hiệu giao thông mô hình phần cứng cho thiết kế[9] Đề tài “Điều khiển đèn tín hiệu giao thông thông minh dùng logic mờ” nhóm tác giả Tan Kok Khiang, Marzuky Khalid va Rubiyah Yusof trung tâm Trí tuệ Nhân tạo Và Rôbốt, Đại học Teknologi, Malaysia Đề tài vào thiết kế thực hệ thống điều khiển đèn tín hiệu thông minh giao lộ độc lập sử dụng logic mờ Kết đề tài xây dựng thành phần mềm mô Kết mô đề tài hệ thống điều khiển mờ hoạt động tốt có lợi ích chi phí hệ thống điều khiển theo chu kỳ cố định [10] 1.3 MỤC TIÊU, ĐỐI TƯNG VÀ PHẠM VI NGHIÊN CỨU 1.3.1 Mục tiêu đề tài Mục tiêu đề tài nghiên cứu thiết kế mô hình hệ thống điều khiển tín hiệu đèn giao thông dùng logic mờ phần cứng SoC Trong đó, hệ thống điều khiển mờ dựa thông số lưu lượng giao thông thực tế giao lộ để xác định chu kỳ đèn tín hiệu thích nghi với lưu lượng nhằm tối ưu hoá hệ thống điều khiển Phần cứng hệ thống điều khiển thực công nghệ SoC sử dụng phần cứng FPGAs bước đệm trình thương mại hoá sản phẩm chip VLSI chuyên dụng KS Phan Văn Ca - Học viên cao học khoá 2003 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường điều khiển LED đoạn Các module gồm định thời, hiển thị LED đoạn, có máy trạng thái điều khiển toàn hệ thống điều khiển đèn tín hiệu Module định thời thiết kế thành phần thiết kế phân tầng Trong tầng có mức cao tầng điều khiển chứa tầng thấp gồm thành phần tương ứng Hình 5.14 Sơ đồ mạch mức chuyển ghi định thời cho hệ thống Hình 5.14 mô tả sơ đồ mạch mức chuyển ghi định thời dùng hệ thống điều khiển Bộ định thời gồm gõ vào xung nhịp chu kỳ 50MHz số đơn vị thời gian cho chu kỳ đèn xanh (hoặc số đơn vị thời gian mở rộng cho đèn xanh tại) tính giây nhận từ ngõ máy trạng thái hệ thống điều khiển ngõ xung nhịp điều khiển máy trạng thái chuyển đổi trạng thái Xung nhịp có độ rộng tương ứng với khoảng thời gian cho chu kỳ đèn xanh (thời gian mở rộng cho đèn xanh tại) Module định thời thiết kế theo cấu trúc đếm Khi định thời nhận số đơn vị đèn xanh từ máy trạng thái chuyển đến đếm bắt đầu kích hoạt để thực chức đếm Sau đếm xong giá trị tương ứng với khoảng thời gian đưa vào đinh thời thiết lập xung nhịp ngõ lên Xung nhịp đưa vào ngõ vào xung nhịp để máy trạng thái chuyển đổi sang trạng thái khác Module định thời tổng hợp thành mô hình theo kiến trúc netlist Trong thành phần mô tả phần tử cổng logic (Hình 5.15 ) Các khối phần tử logic gồm : cổng logic: And, Or, Xor, Not,…, cộng, nhân phần tử Flip Flop D FF, JK FF, … KS Phan Văn Ca - Học viên cao học khoá 2003 73 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường Hình 5.15 Cấu trúc thành phần kiến trúc netlist Phần điều khiển cho toàn hệ thống thiết kế theo mô hình máy trạng thái Máy trạng thái thiết kế cho hệ thống điều khiển theo chu kỳ pha gồm trạng thái Cấu trúc mô hình máy trạng thái thể hình 5.16 Hình 5.16 Cấu trúc mô hình máy trạng thái với flip flop Máy trạng thái cài đặt theo kiểu Moore gồm trạng thái nội ký hiệu GreenA, YellowA, GreenB, YellowB tương ứng với trạng thái tín hiệu đèn xanh vàng hai hướng YellowA GreenA GreenB YellowB Hình 5.17 Đồ hình chuyển trạng thái máy trạng thái Ngõ vào lưu lượng giao thông hướng cố định Bắc-Nam Đông Tây, ngõ gồm Ar (lưu lượng giao thông hướng lưu thông) , Qu (lưu lượng giao thông hướng tạm dừng lưu thông), GA, RA, YA, GB, RB, YB (các tín hiệu điều khiển đèn tương ứng) SecNo (số đơn vị thời gian tương ứng tính đơn vị giây) Biến SecNo trạng thái tín hiệu đèn vàng hai hướng gán giá trị 2s hai trạng thái tín hiệu đèn xanh tương ứng hai hướng lấy giá trị từ điều khiển KS Phan Văn Ca - Học viên cao học khoá 2003 74 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường mờ lưu nội dung ROM Ngoài module chính, chương trình tổng hợp đường tín hiệu liên kết thành phần lại với Hình 5.18 Biểu diễn hàm logic tín hiệu hệ thống 5.5.3.2 Hệ thống điều khiển theo chu kỳ pha mở rộng Hình 5.19 Sơ đồ mạch mức chuyển ghi RTL hệ thống KS Phan Văn Ca - Học viên cao học khoá 2003 75 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường Hệ thống điều khiển theo chu kỳ pha mở rộng thiết kế theo mô hình máy trạng thái Máy trạng thái thiết kế cho hệ thống điều khiển theo chu kỳ pha mở rộng gồm trạng thái nội, tương ứng gồm: GreeA, GreenB, YellowA, YellowB, GreenA_Ext, GreenB_Ext Máy trạng thái gồm ngõ vào ngõ hoàn toàn giống hệ thống điều khiển theo chu kỳ pha Trong trạng thái nội, hệ thống gán giá trị cho ngõ điều khiển đèn, ngõ vào tương ứng với lưu lượng giao thông hai hướng số đơn vị thời gian cho trạng thái Trong hệ thống này, trạng thái tín hiệu đèn vàng trạng thái tín hiệu đèn xanh gán số đơn vị thời gian cố định Hai trạng thái dành cho thời gian mở rộng tín hiệu đèn xanh hai hướng gán số đơn vị thời gian từ ngõ điều khiển mờ nạp ROM Hình 5.20 Cấu trúc mô hình máy trạng thái gồm Flip Flop Khi má máy trạng thái chuyển trạng thái số đơn vị thời gian gán lại, việc gán tác động đến module định thời làm cho định thời hoạt động theo cấu trúc Ngõ định thời xung nhịp kích thích cho máy trạng thái chuyển trạng thái Mặt khác, hai trạng thái dành cho tín hiệu đèn xanh mở rộng, lưu lượng ngõ vào hướng gán tương ứng với biến vào hệ mờ Ar Qu Phép gán giá trị kéo theo module ROM thực thi ngõ gán lại cho biến số đơn vị thời gian mở rộng GreenA_Ext YellowA GreenB GreenA YellowB GreenB_Ext Hình 5.21 Đồ hình chuyển trạng thái máy trạng thái KS Phan Văn Ca - Học viên cao học khoá 2003 76 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường 5.5.4 Sơ đồ mạch hệ thống mức cổng thư viện công nghệ Sau chuyển toàn hệ thống sang hàm logic tương đương mức chuyển ghi RTL, trình tổng hợp thực chức tối ưu hoá sơ đồ cấu trúc netlist ánh xạ chúng vào khối logic thực cách sử dụng thư viện công nghệ Trong phần tổng hợp hệ thống chia nhỏ thành nhiều thành phần thành phần nối kết với mạng đường tín hiệu Toàn hệ thống phân thành nhiều tầng Mỗi tầng kết nối với đường tín hiệu (Hình 5.22, 5.23, 5.24, 5.25 mô tả tầng mô hình netlist điều khiển theo chu kỳ pha) a) Mô hình netlist tầng a) Mô hình netlist tầng Hình 5.22 Kiến trúc mô hình netlist tầng KS Phan Văn Ca - Học viên cao học khoá 2003 77 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường a) Tầng a) Tầng Hình 5.23 Kiến trúc mô hình netlist tầng KS Phan Văn Ca - Học viên cao học khoá 2003 78 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường a) Tầng b) Tầng Hình 5.24 Kiến trúc mô hình netlist tầng KS Phan Văn Ca - Học viên cao học khoá 2003 79 Bộ điều khiển mờ tín hiệu đèn giao thông a) Tầng THD: PGS.TS Lê Tiến Thường a) Tầng Hình 5.25 Kiến trúc mô hình netlist tầng Mô hình netlist tổng hợp thư viện công nghệ Xilinx thực khối logic thiết kế tối ưu cho phần cứng FGPA gồm: bảng LUT, MUX, cổng logic And, Or, Not, …,các Flip Flop chốt Mỗi bảng LUT cài đặt hàm logic thực chức logic tương ứng Các bảng tra, MUX cổng logic gọi chung thành phần netlist nối kết lại với đường tín hiệu bên hình thành nên mô hình tổng thể hệ thống theo kiến trúc phân tầng có cấu trúc hay gọi cấu thúc netlist cài đặt mức thư viện công nghệ Những netlist bao gồm nhiều Macro cell mức cổng liên kết KS Phan Văn Ca - Học viên cao học khoá 2003 80 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường bên Mô hình cho cell mức cổng chứa thư viện công nghệ tuỳ thuộc vào loại công nghệ hỗ trợ Hình 5.17 mô tả cấu trúc bảng LUT với bảng chân trị bìa Karnaugh tương ứng a) Hàm logic b) Bảng chân trị c) Bìa Karnaugh Hình 5.26 cấu trúc bảng LUT mô hình tổng hợp hệ thống KS Phan Văn Ca - Học viên cao học khoá 2003 81 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường 5.5.5 Tóm lược thiết kế Bảng 5.8 tóm lược tài nguyên phần cứng sử dụng chip FPGA 200k cổng cho hệ thống điều khiển theo chu kỳ pha Logic Utilization Used Available Utilization Note(s) Total Number Slice Registers: 60 3,840 1% Number used as Flip Flops: 50 Number used as Latches: 10 Number of input LUTs: 242 3,840 6% Number of occupied Slices: 255 1,920 13% Number of Slices containing only related logic: 255 255 100% 255 0% Total Number input LUTs: 284 3,840 7% Number used as logic: 242 Logic Distribution: Number of Slices containing unrelated logic: Number used as a route-thru: 42 Number of bonded IOBs: 28 173 16% Number of GCLKs: 12% Number of RPM macros: Number of BUFGMUXs 12% Number of External IOBs 30 173 17% Number of LOCed IOBs 30 30 100% 462 960 48% Number of SLICEMs Bảng 5.8 Tóm lượt tài nguyên phần cứng sử dụng cho hệ thống thiết kế Hệ thống thiết kế chiếm 13% tài nguyên phần cứng FPGA 200k cổng Trong trình tổng hợp, trình CAD FPGA ánh xạ thiết kế cell theo thứ tự ưu tiên cho mô hình phần cứng đạt chế độ hoạt động tốt Trong phần tóm lược thiết kế, số Slice chứa logic ràng buộc sử dụng 100% số Slice chứa logic không ràng buộc chưa sử dụng đến Do vậy, hệ thống mở rộng làm cho tài nguyên phần cứng tăng lên số Slice dùng để thực thiết theo Sau thiết kế tổng hợp xong mô hình phần cứng, cấu hình phần cứng hệ thống điều khiển đèn tín hiệu theo chu kỳ pha hệ thống điều khiển đèn tín hiệu theo chu kỳ pha mở rộng nạp vào chip FPGA 200K Starter Kit hãng Xilinx Kết phần cứng hoạt động tốt theo chức yêu cầu KS Phan Văn Ca - Học viên cao học khoá 2003 82 Bộ điều khiển mờ tín hiệu đèn giao thông Chương 6.1 THD: PGS.TS Lê Tiến Thường KẾT LUẬN KẾT QUẢ CỦA ĐỀ TÀI Trên sở nghiên cứu lý thuyết hệ thống điều khiển mờ, công nghệ FPGAs công nghệ thiết kế phần cứng, đề tài nghiên cứu phát triển thành công hệ thống điều khiển đèn giao thông dùng hệ điều khiển logic mờ chip FPGAs Kết đề tài bao gồm phần thiết kế hệ thống điều khiển tín hiệu giao thông theo chu kỳ pha hệ thống điều khiển tín hiệu giao thông theo chu kỳ pha mở rộng dùng logic mờ, phần mềm mô hệ thống điều khiển mờ, mô hình phần cứng hệ thống điều khiển tín hiệu giao thông dùng logic mờ tương ứng với hệ thống điều khiển thiết kế thực công nghệ FPGAs toàn quy trình thiết kế tổng hợp phần cứng hệ thống điều khiển mờ chip FPGAs dùng ngôn ngữ mô tả phần cứng HDL phần mềm thiết kế tổng hợp CAD FPGA Hệ thống điều khiển mờ thiết kế cho hệ thống điều khiển đèn giao thông giao lộ độc lập Các ngõ vào ra, sở luật mờ phương pháp giải mờ thiết kế theo mục tiêu đơn giản hoá trình tính toán thực phần cứng FPGAs với cấu hình tối thiểu Hệ thống mờ thiết kế cho hệ thống điều khiển với phương pháp giải mờ moment chọn cho kết hoàn toàn giống so với hệ thống điều khiển mờ mô dùng phương pháp giải mờ điểm trọng tâm Trên sở đó, đề tài xây dựng chương trình tính toán hệ thống điều khiển mờ dùng để tạo nội dung ROM cài đặt cho mô hình phần cứng điều khiển Hình 5.1 Đáp ứng hệ thống mờ điều khiển đèn tín hiệu giao thông Mô hình tổng thể phần cứng thực FPGA cho hệ thống diều khiển đèn giao thông thiết kế hoàn chỉnh với ngõ vào mô hình lưu lượng giao thông theo KS Phan Văn Ca - Học viên cao học khoá 2003 83 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường hai hướng ngõ tín hiệu điều khiển đèn Mô hình phần cứng cài đặt hệ thống điều khiển mờ gián tiếp phần cứng FPGAs Trong đó, kết tính toán mờ thực trước ngôn ngữ lập trình truyền thống sau nạp vào ROM tổng hợp phần cứng FPGAs Do vậy, với thiết kế này, hệ thống điều khiển không thực phép toán phức tạp làm tăng tài nguyên phần cứng cho kết tính toán với độ xác cao ROM (Nội dụng ROM tạo ngôn ngữ cấp cao) d1 d2 Chuyển ngõ vào thành biến mờ thích hợp Ar Qu Mã hoá biến mờ ngõ vào thành địa ROM Đèn Bộ định thời Máy trạng thái điều khiển đèn tín hiệu Chip FPGA - d1: Lưu lượng giao thông theo hướng Bắc Nam - d2: Lưu lượng giao thông theo hướng Đông Tây Hình 5.2 Mô hình phần cứng điều khiển đèn tín hiệu giao thông chip FPGA Phần kiến trúc hệ thống điều khiển đèn giao thông dùng logic mờ điều khiển theo chu kỳ pha điều khiển theo chu kỳ pha mở rộng mô tả ngôn ngữ mô tả phần cứng HDL Với kết này, mô hình hệ thống tổng hợp thành mô hình mức cổng logic cài đặt thành công phần cứng FPGAs Kết dùng cho việc thiết kế mạch VLSI sau Đề tài ứng dụng giải pháp việc thực mô hình phần cứng công nghệ FPGAs cho hệ thống điều khiển đèn giao thông dùng logic mờ Trong quy trình thiết kế kết hợp sức mạnh tính toán ngôn ngữ lập trình truyền thống ưu điểm việc mô hình hành vi phần cứng ngôn ngữ HDL Việc kết hợp mang lại lợi ích to lớn việc giảm thời gian thiết kế tài nguyên phần cứng cài đặt cho hệ thống Mô hình phần cứng thực chip FPGA 200 ngàn cổng logic board phát triển FPGA hãng Xilinx Kết mô hình phần cứng tổng hợp chiếm 13% tài nguyên tổng dung lượng tài nguyên chip Phần cứng hoạt động theo chức yêu cầu KS Phan Văn Ca - Học viên cao học khoá 2003 84 Bộ điều khiển mờ tín hiệu đèn giao thông 6.2 THD: PGS.TS Lê Tiến Thường ĐÁNH GIÁ KẾT QUẢ CỦA ĐỀ TÀI Hệ thống điều khiển đèn tín hiệu giao thông dùng logic mờ hướng nghiên cứu mô thực nghiệm số nơi giới Tuy nhiên, hệ thống điều khiển thực chip vi xử lý đa nên hệ thống có nhiều nhược điểm tiêu hao nhiều công suất, kích thước lớn, giá thành đắt,… Đề tài vào nghiên cứu mô hình hệ thống điều khiển tín hiệu giao thông dùng logic mờ thực công nghệ SoC hướng mang lại ý nghóa thực tiễn cao Kết đề tài sử dụng để thực chip chuyên dụng (ASICs) bán chuyên dụng (FPGAs) dùng cho hệ thống điều khiển đèn giao thông dùng logic mờ Với hướng thiết kế này, giá thành hệ thống điều khiển đèn giao thông thích nghi theo điều khiển logic mờ giảm đáng kể nhờ vào công nghệ thiết kế vi mạch đại Đề tài đề xuất quy trình ứng dụng công nghệ tích hợp việc thiết kế hệ thống điều khiển dùng logic mờ Trong đó, quy trình thiết kế kết hợp thành công phần mềm thực tính toán khả tổng hợp nhớ thiết kế vi mạch phương thức mô tả hành vi phần cứng ngôn ngữ mô tả phần cứng để thực mô hình phần cứng hệ thống điều khiển đèn tín hiệu giao thông chip FPGA Từ đó, đề tài cài đặt chương trình xử lý mờ tạo nội dung liệu ROM cho hệ thống sở hệ điều khiển mờ thiết kế Kết sử dụng để thực module điều khiển mờ cho hệ thống điều khiển khác Quy trình thiết kế phát triển thành quy trình thiết kế chung cho ứng dụng thiết kế điều khiển dùng logic mờ công nghệ thiết kế vi mạch đại Kết nghiên cứu đề tài có khả ứng dụng thực tiễn lớn, giai đoạn nay, tình trạng ách tắc giao thông đến mức báo động việc tìm kiếm giải pháp khác nhằm hạn chế ùn tắc khó khả thi Việc thay hệ thống điều khiển đèn điều khiển theo chu kỳ cố định hệ thống điều khiển đèn dùng logic mờ giải pháp tối ưu việc điều khiển tín hiệu giao thông góp phần giảm bớt tình trạng Kết đề tài triển khai thí điểm phát triển thành dự án thay hệ thống đèn báo hiệu hệ thống đèn báo hiệu thích nghi dùng logic mờ 6.3 HƯỚNG PHÁT TRIỂN CỦA ĐỀ TÀI Hướng phát triển nghiên cứu giải thuật đếm lưu lượng tín hiệu giao thông từ nguồn ảnh độ phân giải thấp thu từ camera quan sát Bước nghiên cứu cài đặt với hệ thống điều khiển chip để trở thành mô hình hoàn chỉnh cho hệ thống điều khiển đèn giao thông dùng logic mờ Hệ thống thiết kế khắc phục hạn chế việc đếm lưu lượng tình trạng giao thông hỗn độn cách dùng cảm biến bình thường Hướng phát triển thứ hai nghiên cứu mô hình điều khiển mạng lưới giao thông tối ưu, kết hợp điều khiển mờ mạng neural để xây dựng hệ thống điều khiển toàn mạng Nghiên cứu giải pháp giảm số ngõ vào hệ thống điều khiển để cài đặt công nghệ FPGAs bước mở rộng chức hệ thống điều khiển cho phép thực độ ưu tiên số phương tiện giao thông cụ thể KS Phan Văn Ca - Học viên cao học khoá 2003 85 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường TÀI LIỆU THAM KHẢO [1] George J Klir and Bo Yuan, ‘Fuzzy sets and fuzzy logic: Theory and Applications’, Prentice Hall PTR, 1995 [2] Timothy J Ross, ‘Fuzzy logic with Engineering Applications’, McGraw-Hill, 1997 [3] Bart Kosko, ‘Fuzzy Engineering’, Prentice-Hall, 1997 [4] Chin- Teng Lin and C.S George Lee, Neural Fuzzy Systems, Prentice-Hall International, 1996 [5] Kevin skahill,’VHDL for programmable logic’, Addison- Wesley, 1996 [6] Nguyễn Hoàng Phương, Bùi Công Cường, Nguyễn Doãn Phước, Phan Xuân Minh, Chu Văn Hỷ, ‘Hệ mờ ứng dụng’, Nhà xuất Khoa học Kỹ thuật, 1998 [7] Bùi Công Cường, Nguyễn Doãn Phước (chủ biên),’Hệ mờ, mạng nơron ứng dụng’, Nhà xuất Khoa học Kỹ thuật, 2001 [8] Nguyễn Văn Sơn, ‘Đề tài luận án cao học: Nghiên cứu ứng dụng Fuzzy logic Neural networks điều khiển đèn giao thông’, Luận án cao học ngành Kỹ thuật Vô tuyến- Điện tử, ĐH Bách Khoa Tp.HCM, 2002 [9] Sanal.R.P, ‘Intelligent Traffic Signals Using Fuzzy Logic Control’, ấn hội nghị khoa học Kerala lần thứ 11, Idukki, Kerala, n Độ, 1999 [10] Tan Kok Khiang, Marzuki Khalid vaø Rubiyah Yusof, ‘Intelligent Traffic Lights Control By Fuzzy Logic’, Trung tâm trí tuệ nhân tạo robot, Đại học công nghệ Malaisia [11] Jarkko Niittymäki, ‘ Installation and experiences of Field testing a fuzzy signal controller’, Đại học công nghệ Helsinki, Phần Lan, 2001 [12] Jarkko Niittymäki, ‘General fuzzy rule base for isolated traffic signal control-Rule formulation’, Đại học công nghệ Helsinki, Phần Lan, 2001 [13] Jarkko Niittymäki, ‘Fuzzy traffic signal controller based on fuzzy logic’, Đại học công nghệ Helsinki, Phần Lan, 2002 [14] Stephen Chiu Sujeet Chand, ‘Self-Organizing Traffic Control via Fuzzy Logic’, Proc 32nd IEEE Conf on Decision & Control, San Antonio, Texas-12-1993 [15] Bing W Kwan, Khue Ngo-Quoc, Vijayanand Lakshminarayan vaø Leonard J Tung ‘Simulation of traffic flow regulated by fuzzy logic’, Khoa kyõ thuật máy tính điện tử, Đại học bang Florida, USA [16] www.doc.ic.ac.uk [17] http://www.mathworks.nl [18] www.doste.hcmcity.gov.vn [19] www Altera.com [20] www Xilinx.com KS Phan Văn Ca - Học viên cao học khoá 2003 86 Bộ điều khiển mờ tín hiệu đèn giao thông THD: PGS.TS Lê Tiến Thường LÝ LỊCH TRÍCH NGANG Họ tên: PHAN VĂN CA Ngày, tháng, năm sinh: 18-06-1979 Nơi sinh: QUẢNG NAM Địa liên lạc: Thôn 3, Quế Cường, Quế Sơn, Quảng Nam QUÁ TRÌNH ĐÀO TẠO Từ ngày tháng năm 1997 đến tháng năm 2002 học đại học trường Đại học Bách Khoa Đại học Quốc gia Tp.HCM, chuyên ngành Điện tử-Viễn thông Từ ngày tháng năm 2003 đến học Thạc só trường Đại học Bách khoa, Đại học Quốc gia Tp.HCM, chuyên ngành kỹ thuật Vô tuyến-Điện tử QUÁ TRÌNH CÔNG TÁC Từ tháng 03 năm 2002 đến tháng năm 2004, làm việc phòng thí nghiệm Viễn thám Xử lý ảnh thuộc Trung Tâm Công Nghệ Thông Tin Địa Lý, trường Đại học Bách khoa, Đại học Quốc gia Tp.HCM Từ tháng năm 2004 đến nay, làm cán giảng dạy môn Điện tử-Viễn thông, khoa Điện-Điện tử-Viễn thông, trường đại học Giao thông Vận tải Tp.HCM KS Phan Văn Ca - Học viên cao học khoá 2003 87 ... trình b? ?y luận văn thạc só “CÔNG NGHỆ SOC (SYSTEM ON CHIP) ỨNG DỤNG VÀO GIÁM SÁT VÀ ĐIỀU KHIỂN TÍN HIỆU GIAO THÔNG DÙNG LOGIC MỜ” bao gồm sáu chương sau: Chương 1: GIỚI THIỆU ĐỀ TÀI Chương trình b? ?y... thống điều khiển tín hiệu giao thông dùng logic mờ thực hệ thống điều khiển công nghệ thiết kế phần cứng SoC (System on Chip) Hệ thống điều khiển mờ đèn tín hiệu giao thông thiết kế cho giao lộ... ứng dụng vào giám sát điều khiển tín hiệu giao thông dùng logic mờ II NHIỆM VỤ VÀ NỘI DUNG: − Nghiên cứu lý thuyết logic mờ, hệ thống điều khiển mờ, nguyên lý hoạt động hệ thống điều khiển đèn tín

Ngày đăng: 09/02/2021, 15:37

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan