Giáo trình Kỹ thuật số Nghề: Cơ điện tử CĐ Kỹ Thuật Công Nghệ Bà Rịa Vũng Tàu

71 90 0
Giáo trình Kỹ thuật số  Nghề: Cơ điện tử  CĐ Kỹ Thuật Công Nghệ Bà Rịa Vũng Tàu

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

(NB) Nội dung giáo trình gồm có giới thiệu về đại số Bool và các cổng logic, phần tử cơ bản của các mạch số; lắp ráp một số mạch đếm sử dụng các Flip Flop; đi vào các loại mạch dồn kênh, phân kênh và mạch đếm hiển thị bằng led 7 đoạn.

ỦY BAN NHÂN DÂN TỈNH BR – VT TRƯỜNG CAO ĐẲNG NGHỀ GIÁO TRÌNH MƠ ĐUN: KỸ THUẬT SỐ NGHỀ : CƠ ĐIỆN TỬ TRÌNH ĐỘ TRUNG CẤP VÀ CAO ĐẲNG Ban hành kèm theo Quyết định số: 01 /QĐ-CĐN ngày 04 tháng 01 năm 2016 Hiệu trưởng trường Cao đẳng nghề tỉnh BR - VT Bà Rịa – Vũng Tàu, năm 2016 TUYÊN BỐ BẢN QUYỀN Tài liệu thuộc loại sách giáo trình nên nguồn thơng tin phép dùng ngun trích dùng cho mục đích đào tạo tham khảo Mọi mục đích khác mang tính lệch lạc sử dụng với mục đích kinh doanh thiếu lành mạnh bị nghiêm cấm LỜI GIỚI THIỆU Giáo trình biên soạn nhằm cung cấp cho sinh viên Cơ điện tử số kiến thức môn học coi tảng chuyên ngành Nội dung gồm 13 bài: - Bài giới thiệu đại số Bool cổng logic, phần tử mạch số - Bài đến lắp ráp số mạch đếm sử dụng Flip-Flop - Bài đến 13 vào loại mạch dồn kênh, phân kênh mạch đếm hiển thị led đoạn Để học tốt mơn học SV cần có kiến thức linh kiện điện tử, gồm Diod, Transistor BJT FET, phần vận hành chế độ ngưng dẫn Có thể nói tất mơn học có liên quan đến kỹ thuật nhiều cần kiến thức Kỹ thuật số nên điều kiện khó khăn phải đọc sách ngoại ngữ, hy vọng tài liệu thiếu tủ sách sinh viên chuyên ngành Cơ điện tử Để đảm bảo cho người học có kiến thức chuyên môn, kỹ thực hành làm tảng cho công việc sau tác giả tham khảo sách, báo, trang mạng biên soạn giáo trình Kỹ thuật số với tất cần thiết cho học viên nghành Cơ điện tử Trong q trình biên soạn khơng khỏi có sai sót mong bạn, học viên đóng góp ý kiến để giáo trình hồn thiện Xin cảm ơn! Bà Rịa – Vũng Tàu, ngày 15 tháng năm 2015 Biên soạn Lê Trọng Tài MỤC LỤC MỤC LỤC TRANG BÀI : KHẢO SÁT CÁC CỔNG LOGIC CƠ BẢN Cổng AND Cổng OR Cổng NOT Cổng NAND 11 Cổng NOR 13 BÀI 2: THIẾT KẾ MẠCH ĐIỀU KHIỂN BƠM NƯỚC TỰ ĐỘNG SỬ DỤNG CÁC CỔNG LOGIC CƠ BẢN 16 Thiết lập đơn giản hàm Boole 16 Thiết kế mạch theo yêu cầu 27 BÀI : LẮP RÁP MẠCH ĐÈN GIAO THƠNG DÙNG IC 4017 30 Cấu tạo, hình dáng sơ đồ chân IC 4017 30 Nguyên lý hoạt động IC 4017 32 Nguyên lý hoạt động mạch 32 Lắp ráp mạch 33 BÀI : LẮP RÁP MẠCH SÁNG TẮT XEN KẼ SỬ DỤNG IC 74164 34 Cấu tạo, hình dáng sơ đồ chân IC 74164 34 Nguyên lý hoạt động IC 74164 35 Nguyên lý hoạt động mạch 35 Lắp ráp mạch 35 BÀI : LẮP RÁP MẠCH SÁNG DẦN TẮT DẦN SỬ DỤNG IC 74164 37 Nguyên lý hoạt động mạch 37 Lắp ráp mạch 37 BÀI : LẮP RÁP MẠCH ĐẾM LÊN MOD SỬ DỤNG IC 7490 39 Cấu tạo, hình dáng sơ đồ chân IC 7490 39 Nguyên lý hoạt động IC 7490 41 Nguyên lý hoạt động mạch 41 Lắp ráp mạch 41 BÀI : LẮP RÁP MẠCH ĐẾM LÊN BIT DÙNG IC 74112 43 Cấu tạo, hình dáng sơ đồ chân IC 74112 43 Nguyên lý hoạt động IC 74112 44 Nguyên lý hoạt động mạch 44 Lắp ráp mạch 44 BÀI : LẮP RÁP MẠCH ĐẾM XUỐNG BIT DÙNG IC 74112 46 Nguyên lý hoạt động mạch 46 Lắp ráp mạch 46 BÀI : LẮP RÁP MẠCH DỒN KÊNH DÙNG IC 74153 48 Cấu tạo, hình dáng sơ đồ chân IC 74153 48 Nguyên lý hoạt động IC 74153 49 Nguyên lý hoạt động mạch 50 Lắp ráp mạch 50 BÀI 10 : LẮP RÁP MẠCH PHÂN KÊNH DÙNG IC 74155 52 Cấu tạo, hình dáng sơ đồ chân IC 74155 52 Nguyên lý hoạt động IC 74155 53 Nguyên lý hoạt động mạch 53 Lắp ráp mạch 54 BÀI 11 : LẮP RÁP MẠCH SO SÁNH DÙNG IC 7485 56 Cấu tạo, hình dáng sơ đồ chân IC 7485 56 Nguyên lý hoạt động IC 7485 58 Nguyên lý hoạt động mạch 58 Lắp ráp mạch 58 BÀI 12 : LẮP RÁP MẠCH ĐẾM LÊN TỪ ĐẾN HIỂN THỊ BẰNG LED ĐOẠN DÙNG IC 74192 VÀ IC 7447 60 Cấu tạo, hình dáng sơ đồ chân led đoạn IC 74192 60 Nguyên lý hoạt động led đoạn IC 74192 62 Nguyên lý hoạt động mạch 62 Lắp ráp mạch 62 BÀI 13 : LẮP RÁP MẠCH ĐẾM LÊN TỪ 00 ĐẾN 99 HIỂN THỊ BẰNG LED ĐOẠN SỬ DỤNG IC 7490 VÀ IC 7447 64 Cấu tạo, hình dáng sơ đồ chân IC 7447 64 Nguyên lý hoạt động IC 7447 65 Nguyên lý hoạt động mạch 66 Lắp ráp mạch 66 MÔ ĐUN: KỸ THUẬT SỐ Mã mơ đun: MĐ 16 Vị trí, tính chất, ý nghĩa vai trò mơ đun: - Vị trí: Trước học mơ đun học sinh phải hoàn thành: MH 01; MH 05; MH MĐ - Tính chất: Là mơ đun bắt buộc chương trình đào tạo nghề Cơ điện tử Mục tiêu mô đun: - Sử dụng thành thạo cổng logic - Thiết kế mạch logic thực theo yêu cầu cho trước - Đọc sơ đồ phân tích nguyên lý hoạt động mạch - Đo thử, kiểm tra mạch điều khiển - Nhận biết nguyên nhân gây hư hỏng cách khắc phục - Lựa chọn xác linh kiện tương đương với linh kiện hư hỏng để thay - Rèn luyện tính cẩn thận xác thiết kế lắp ráp mạch Nội dung mô đun: STT Nội dung Khảo sát cổng logic Thiết kế mạch điều khiển bơm nước tự động sử dụng cổng 10 11 logic Kiểm tra (bài 1+2) Lắp ráp mạch đèn giao thông sử dụng IC 4017 Lắp ráp mạch sáng tắt xen kẽ sử dụng IC 74164 Lắp ráp mạch sáng dần tắt dần sử dụng IC 74164 Kiểm tra (bài 3+4+5) Lắp ráp mạch đếm Mod dùng IC 7490 Lắp ráp mạch đếm lên bit sử dụng IC 74112 Lắp ráp mạch đếm xuống bit sử dụng IC 74112 Kiểm tra (bài 6+7+8) Lắp ráp mạch dồn kênh dùng IC 74153 Lắp ráp mạch phân kênh IC 74155 Lắp ráp mạch so sánh dùng IC 7485 Thời gian 10 5 5 5 5 Hình thức Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Tích hợp Thời STT Nội dung 12 Lắp ráp mạch đếm lên từ đến hiển thị led đoạn sử gian 10 Hình thức 13 dụng IC 74192 Lắp ráp mạch đếm lên từ 00 đến 99 hiển thị led đoạn sử 10 Tích hợp dụng IC 7490 IC 7447 Kiểm tra (bài 9+10+11+12+13) Tổng 90 Tích hợp Tích hợp Tích hợp BÀI KHẢO SÁT CÁC CỔNG LOGIC CƠ BẢN Giới thiệu: Trong kỹ thuật điện tử người ta dùng linh kiện điện tử cần thiết kết nối với theo quy luật định tạo nên phần tử từ hình thành mạch chức phức tạp Những phần tử gọi cổng logic Cổng AND 1.1 Cấu tạo, hình dáng, sơ đồ chân bảng chân lý cổng AND Hình 1.1: Cấu tạo Hình 1.2: ký hiệu bảng chân lý Hình 1.1c: Sơ đồ chân IC cổng logic AND 1.2 Nguyên lý hoạt động: - Cổng AND thực tốn nhân thơng thường - Ngõ cổng AND có ngõ vào - Ngõ cổng AND tất ngõ vào điều 1.3 Lắp ráp khảo sát: * Lắp ráp theo sơ đồ: * Khảo sát: - Mức cấp 5v - Mức nối mas (0v) - Cấp đầu vào cổng AND IC7408 sau xác định đầu cách quan sát led sáng hay không (Nếu sáng mức 1, tắt mức 0) Cổng Cổng Cổng Cổng A 0 1 B 1 Led Led Led Led Cổng OR 2.1 Cấu tạo, hình dáng, sơ đồ chân bảng chân lý cổng OR - Tương tự với tổ hợp BA khác S Y1, Y2, Y3 Nguyên lý hoạt động mạch - Cấp nguồn 5v cho chân 16, mas (0v) cho chân để ic hoạt động - Mạch chia làm phân kênh phân kênh hoạt động khác (chân đầu có thêm cổng đảo chân 15 sau khơng có) - Khi chân chân 15 (2 chân cho phép) nhận mức bất chấp đầu vào ngõ chọn cao hay thấp ngõ mức tức led sáng - Khi chân cho phép không xác định giá trị thì: + Bộ 1: ngõ vào mức ngõ mức tức led sáng + Bộ 2: ngõ vào mức ngõ mức tức led sáng Sơ đồ mạch: - Xác định trạng thái led điền vào bảng: Ngõ chọn Chân X X Ngõ Ngõ cho vào phép 14 X X X X Ngõ (led) Ngõ Ngõ cho vào phép 15 X 13 X Ngõ (led) 10 11 12 54 0 1 1 0 0 1 1 0 0 0 0 - Các bước thực hiện: TT NỘI DUNG THỰC HIỆN B1 Chọn, kiểm tra linh kiện B2 B3 B4 YÊU CẦU KỸ THUẬT - IC: 74155 - Led TB – DC - VT CHÚ Ý - Kìm, VOM - Bộ nguồn - Protesboard - Chính xác Bố trí linh kiện - Dựa vào sơ đồ nguyên lý để bố linh lên kiện protesboard - Linh kiện bố khơng chồng chéo lên - Bố trí phù hợp để thuận tiện dây Đấu dây - Đấu dây theo sơ đồ nguyên lý - Đi dây gọn, xác, chắn, dẽ sữa chửa - Kìm, kẹp, VOM - Protesboard - Các linh kiện - Chính xác - Chắc chắn - Thẩm mỹ - Kìm, kẹp, VOM - Protesboard - Dây điện - Chính xác - Chắc chắn - Thẩm mỹ Kiểm tra, cấp nguồn đo thơng số kỹ thuật - Kìm, kẹp, VOM - Protesboard - Dây điện - Bộ nguồn DC - Chính xác - Chắc chắn - Cẩn thận - Kiểm tra (hở tiếp xúc, đấu sai chân linh kiện, đấu thiếu dây) - Cấp điện áp UDC = 5V Bài tập: Lắp ráp mạch phân kênh sử dụng ic 74138? 55 BÀI 11 LẮP RÁP MẠCH SO SÁNH DÙNG IC 7485 Giới thiệu: Bài học giúp sv hiểu hoạt động ic 7485 IC ứng dụng nhiều lĩnh vực máy tính Là ic so sánh nên thu nhận liệu từ bên ngồi tính tốn, so sánh tín hiệu phản hồi Bài học hướng dẫn sv lắp rắp mạch ứng dụng trò chơi đơn giản dùng thuật tốn so sánh Cấu tạo, hình dáng sơ đồ chân 1.1 Cấu tạo - Mạch so sánh: 56 1.2 Hình dáng: 1.3 Sơ đồ chân: 1.4 Bảng trạng thái: 57 Nguyên lý hoạt động - Ở trường hợp đầu mạch so sánh bình thường, so sánh từ bít cao trước Khi tất bit ngõ vào phải xét đến logic ngõ vào nối chồng (được dùng ghép chồng nhiều IC để có số bit so sánh lớn hơn) - Logic ngõ vào thực ngõ tầng so sánh bit thấp (nếu có) Trường hợp ngõ vào nối chồng lên cao ngõ tương ứng lên cao - Trường hợp bít trước khơng so sánh ngõ sau thấp Trường hợp khơng có tín hiệu ngõ vào nối chồng tức liệu ngõ vào A B khác nên ngõ A < B A> B đểu mức cao - Vậy để mạch so sánh bit nên nối ngõ nối chống A = B mức cao Nguyên lý hoạt động mạch - Dùng mạch tạo xung 555 cấp cho ic - Ấn nút SW chốc lát để tạo số xung kích từ mạch dao động; mạch đếm cho mã số B3B2B1B0 ngẫu nhiên - Một mã số cài sẵn (chẳng hạn dùng công tắc tạo mức logic cho A3A2A1A0 0110) so sánh với số đếm ngẫu nhiên vừa vào: + Nếu A=B đèn vàng sáng + Nếu A > B đèn đỏ sáng 58 + Nếu A < B đèn xanh sáng Sơ đồ mạch: - Các bước thực hiện: TT NỘI DUNG THỰC HIỆN B1 Chọn, kiểm tra linh kiện B2 B3 B4 YÊU CẦU KỸ THUẬT - IC: 7485, 7490, 555 - Led - Tụ: 0,01uF - Điện trở: 1k, 10k… TB – DC - VT CHÚ Ý - Kìm, VOM - Bộ nguồn - Protesboard - Chính xác Bố trí linh kiện - Dựa vào sơ đồ nguyên lý để bố linh lên kiện protesboard - Linh kiện bố khơng chồng chéo lên - Bố trí phù hợp để thuận tiện dây Đấu dây - Đấu dây theo sơ đồ nguyên lý - Đi dây gọn, xác, chắn, dẽ sữa chửa - Kìm, kẹp, VOM - Protesboard - Các linh kiện - Chính xác - Chắc chắn - Thẩm mỹ - Kìm, kẹp, VOM - Protesboard - Dây điện - Chính xác - Chắc chắn - Thẩm mỹ Kiểm tra, cấp nguồn đo thơng số kỹ thuật - Kìm, kẹp, VOM - Protesboard - Dây điện - Bộ nguồn DC - Chính xác - Chắc chắn - Cẩn thận - Kiểm tra (hở tiếp xúc, đấu sai chân linh kiện, đấu thiếu dây) - Cấp điện áp UDC = 5V Bài tập: Lắp ráp mạch so sánh nối chồng ic 4785? 59 BÀI 12 LẮP RÁP MẠCH ĐẾM LÊN TỪ ĐẾN HIỂN THỊ BẰNG LED ĐOẠN SỬ DỤNG IC 74192 Giới thiệu: Bài học giúp sv hiểu hoạt động ic 74192 led đoạn Mạch ứng dụng nhiều tất máy móc có hiển thị số led đoạn như: đếm thời gian, đếm sản phẩm… Cấu tạo, hình dáng sơ đồ chân led đoạn ic 74192 1.1 Cấu tạo, hình dáng sơ đồ chân led đoạn 1.1.1 Cấu tạo led đoạn - Đây lọai đèn dùng hiển thị số từ đến 9, đèn gồm đọan a, b, c, d, e, f, g, bên đọan led (đèn nhỏ) nhóm led mắc 60 song song (đèn lớn) Đèn LED đoạn có cấu tạo gồm đoạn, đoạn đèn LED 1.1.2 Hình dáng: 1.2 Cấu tạo, hình dáng sơ đồ chân ic 74192 1.2.1 Cấu tạo ic 74192 1.2.2 Hình dáng 61 1.2.3 Sơ đồ chân Nguyên lý hoạt động ic 74192 - 74192 mạch đếm chia 10 có khả đếm lên hay xuống - Khi đếm lên xung ck đưa vào chân CKU đếm xuống xung ck đưa vào chân CKD - Khi đếm lên hết số chân Carry xuống thấp, đếm xuống hết số chân Borrow xuồng thấp chân dùng cần nối tầng nhiều IC - Đặc biệt mạch đặt trước số đếm ban đầu chân ABCD chân LD xuống thấp phép nạp số ban đầu Nguyên lý hoạt động mạch - Dùng mạch tạo xung 555 cấp cho ic - Khi xung cấp cho chân mạch đếm lên, cấp cho chân mạch đếm xuống 62 - Chân 15, 1, 10, tương ứng với D0, D1, D2, D3 giá trị mạch đếm đến Khi mạch đếm đến ta chọn giá trị tương ứng 1100 (D0, D1 mức 1; D2, D3 mức 0) - Chân mức hoạt động bình thường, chọn mức mạch reset lại từ đầu Sơ đồ mạch: - Các bước thực hiện: TT NỘI DUNG THỰC HIỆN YÊU CẦU KỸ THUẬT B1 Chọn, kiểm tra linh kiện - IC: 74192, 74190, 555, 7447, 4511 - Led đoạn, SW - Tụ: 0,01uF - Điện trở: 1k, 10k… B2 Bố trí linh kiện - Dựa vào sơ đồ nguyên lý để bố linh lên kiện protesboard - Linh kiện bố không chồng chéo lên - Bố trí phù hợp để thuận tiện dây TB – DC - VT CHÚ Ý - Kìm, VOM - Bộ nguồn - Protesboard - Chính xác - Kìm, kẹp, VOM - Protesboard - Các linh kiện - Chính xác - Chắc chắn - Thẩm mỹ 63 B3 Đấu dây - Đấu dây theo sơ đồ nguyên lý - Đi dây gọn, xác, chắn, dẽ sữa chửa - Kìm, kẹp, VOM - Protesboard - Dây điện - Chính xác - Chắc chắn - Thẩm mỹ B4 Kiểm tra, cấp nguồn đo thông số kỹ thuật - Kiểm tra (hở tiếp xúc, đấu sai chân linh kiện, đấu thiếu dây) - Cấp điện áp UDC = 5V - Kìm, kẹp, VOM - Protesboard - Dây điện - Bộ nguồn DC - Chính xác - Chắc chắn - Cẩn thận Bài tập: Lắp ráp mạch đếm từ đến dùng ic 74192 hiển thị led đoạn? BÀI 13 LẮP RÁP MẠCH ĐẾM LÊN TỪ 00 ĐẾN 99 HIỂN THỊ BẰNG LED ĐOẠN SỬ DỤNG IC 7490 VÀ 7447 Giới thiệu: Bài học giúp sv hiểu hoạt động ic 7447 ic mã hóa Mã hóa gán ký hiệu cho đối tượng tập hợp để thuận tiện cho việc thực yêu cầu cụ thể Thí dụ mã BCD gán số nhị phân bit cho số mã số thập phân (từ đến 9) để thuận tiện cho máy đọc số có nhiều số mã Cấu tạo, hình dáng sơ đồ chân ic 7447 1.1 Cấu tạo ic 7447 64 1.2 Hình dáng 1.3 Sơ đồ chân 65 1.4 Bảng trạng thái Nguyên lý hoạt động ic 7447 - Nhận thấy ngõ mạch giải mã tác động mức thấp (0) led tương ứng sáng - Ngồi 10 số từ đến giải mã, mạch giải mã trạng thái khác, không dùng đến (ghi 2) - Để hoạt động giải mã xảy bình thường chân LT BI/RBO phải mức cao - Muốn thử đèn led để led sáng hết kéo chân LT xuống thấp (ghi 5) - Muốn xoá số (tắt hết led) kéo chân BI xuống thấp (ghi 3) - Khi cần giải mã nhiều led đoạn ta ghép nhiều tầng IC, muốn xố số vơ nghĩa trước nối chân RBI tầng đầu xuống thấp, chân RBO xuống thấp nối tới tầng sau muốn xố tiếp số vơ nghĩa tầng (ghi 4) - Riêng tầng cuối RBI để trống hay để mức cao để hiển thị số cuối Nguyên lý hoạt động mạch 66 - Dùng mạch tạo xung 555 cấp cho ic - Cấp xung cho chân 14 ic 7490 mạch bắt đầu đếm từ 00 IC 7490 thứ đếm hàng đơn vị đếm đến IC 7490 thứ nhận tín hiệu đếm lên đơn vị - IC 7490 thứ sau đếm đến reset lại đếm lại từ IC 7490 thứ giữ trạng thái vừa đếm tiếp tục chờ xung IC 7490 thứ để đếm tiếp - Khi đếm đến số 99 mạch tự reset 00 bắt đầu đếm lại từ đầu Sơ đồ mạch: - Các bước thực hiện: TT B1 NỘI DUNG THỰC HIỆN Chọn, kiểm tra linh kiện YÊU CẦU KỸ THUẬT - IC: 74192, 74190, 555, 7447, 4511 - Led đoạn, SW - Tụ: 0,01uF - Điện trở: 1k, 10k… TB – DC - VT - Kìm, VOM - Bộ nguồn - Protesboard CHÚ Ý - Chính xác 67 B2 B3 B4 Bố trí linh kiện - Dựa vào sơ đồ nguyên lý để bố linh lên kiện protesboard - Linh kiện bố không chồng chéo lên - Bố trí phù hợp để thuận tiện dây Đấu dây - Đấu dây theo sơ đồ nguyên lý - Đi dây gọn, xác, chắn, dẽ sữa chửa - Kìm, kẹp, VOM - Protesboard - Các linh kiện - Chính xác - Chắc chắn - Thẩm mỹ - Kìm, kẹp, VOM - Protesboard - Dây điện - Chính xác - Chắc chắn - Thẩm mỹ Kiểm tra, cấp nguồn đo thông số kỹ thuật - Kìm, kẹp, VOM - Protesboard - Dây điện - Bộ nguồn DC - Chính xác - Chắc chắn - Cẩn thận - Kiểm tra (hở tiếp xúc, đấu sai chân linh kiện, đấu thiếu dây) - Cấp điện áp UDC = 5V Bài tập: Lắp ráp mạch đếm từ 00 đến 23 dùng ic 7490 hiển thị led đoạn? TÀI LIỆU THAM KHẢO [1] Kỹ thuật số, Nguyễn Thuý Vân, NXB KHKT, 2004 [2] Cơ sở kỹ thuật điện tử số, Vũ Đức Thọ, NXB Giáo dục [3] http://huongnghiepviet.com/codientu 68 ... THIỆU Giáo trình biên soạn nhằm cung cấp cho sinh viên Cơ điện tử số kiến thức môn học coi tảng chuyên ngành Nội dung gồm 13 bài: - Bài giới thiệu đại số Bool cổng logic, phần tử mạch số - Bài... chun mơn, kỹ thực hành làm tảng cho công việc sau tác giả tham khảo sách, báo, trang mạng biên soạn giáo trình Kỹ thuật số với tất cần thiết cho học viên nghành Cơ điện tử Trong q trình biên... thiệu: Trong kỹ thuật số đại số Boole cơng cụ hữu hiệu để đơn giản biến đổi cổng logic hay nói cách khác thay mạch điện mạch điện khác để đáp ứng yêu cầu hay giải pháp kỹ thuật Khác với đại số khác,

Ngày đăng: 26/06/2020, 13:00

Từ khóa liên quan

Mục lục

  • GIÁO TRÌNH

  • TUYÊN BỐ BẢN QUYỀN

  • LỜI GIỚI THIỆU

  • MỤC LỤC

  • TRANG

    • 1. Cấu tạo, hình dáng và sơ đồ chân của IC 4017 30

    • 2. Nguyên lý hoạt động của IC 4017 32

    • MÔ ĐUN: KỸ THUẬT SỐ

    • Mã mô đun: MĐ 16

    • BÀI 1

      • 1. Tìm hiểu và khảo sát cổng đệm (Buffer)?

      • 2. Tìm hiểu và khảo sát cổng EX-OR?

      • 3. Tìm hiểu và khảo sát cổng EX-NOR?

      • BÀI 2

      • * Đơn giản biểu thức logic:

      • + Khi mực nước ở hồ chứa lớn hơn hoặc bằng H thì cả M1, M2 dừng làm việc

      • BÀI 3

        • 1. Cấu tạo, hình dáng và sơ đồ chân của IC 4017

        • 3. Nguyên lý hoạt động của mạch:

        • Bài tập:

        • Bài tập:

        • Bài tập:

        • Bài tập:

Tài liệu cùng người dùng

Tài liệu liên quan