1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

Bài giảng Thiết kế logic số: Lecture 2.2 - TS. Hoàng Văn Phúc

17 50 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Bài giảng Thiết kế logic số: Lecture 2.2 trình bày về Ngôn ngữ VHDL. Nội dung cụ thể của chương này gồm: Mô phỏng trên ModelSim, Configuration, đối tượng dữ liệu, kiểu dữ liệu. Mời các bạn cùng tham khảo!

Thiết kế logic số (VLSI design) TS Hoàng Văn Phúc Bộ môn KT Xung, số, Vi xử lý 02/2017 https://sites.google.com/site/phucvlsi/teaching Mục đích, nội dung  Nội dung: Mơ ModelSim, Configuration, Đối tượng liệu, kiểu liệu  Thời lượng: tiết giảng Mô thiết kế VHDL Modelsim  Xem thêm tài liệu kèm Modelsim  Chú ý: Nên sử dụng script để chạy mô Adder bit b3 FULL_ADDER CO b2 a3 S3 C(2) a2 FULL_ADDER S2 b1 C(1) a1 FULL_ADDER S1 b0 C(0) a0 FULL_ADDER CI S0 Configuration Một thực thể có kiến trúc ? Kiến trúc biên dịch vào thư viện Work? Kiến trúc mô lệnh Vsim? Configuration Dataflow ADDER4 = Full_adder Behavioral configuration behav of adder4 is for structure one of architecture for all: full_adder use entity work.full_adder(behavioral); end for; end for; end configuration; select architecture for u0: full_adder use for u1: full_adder use for u2: full_adder use for u3: full_adder use entity entity entity entity work.full_adder(behavioral); work.full_adder(behavioral); work.full_adder(dataflow); work.full_adder(dataflow); Object Types (Đối tượng liệu) Object types Constant Variable Signal Object Types Hằng đối tượng liệu dùng khởi tạo để chứa giá trị xác định q trình thực Hằng khai báo gói, thực thể, kiến trúc, chương trình con, khối process Biến đối tượng liệu dùng để chứa kết trung gian, biến khai báo bên process chương trình Tín hiệu đối tượng liệu dùng để kết nối process(khối logic) để đồng process Data types (Kiểu liệu) Data types Pre-defined User defined Record BIT Array STD_LOGIC Numeric (integer, real…) Enum (charter, filetype…) Data types Kiểu std_logic thư viện STD_LOGIC_1164 Name ‘U’ 'X' '0' '1' 'Z' 'W' 'L' 'H' '-' Value Unsolved X High impedance X Don’t care Driver Strength Strong Strong Strong Weak Weak Weak 10 Trắc nghiệm Câu 1: Kiểu liệu tiền định nghĩa xem kiểu liệu VHDL A Kiểu BIT NUMERIC B Kiểu BIT STD_LOGIC C Kiểu STD_LOGIC D Kiểu NUMERIC STD_LOGIC 11 Trắc nghiệm Câu 2: Phát biểu sau sau khơng xác: A Biến đối tượng liệu dùng để lưu trữ giá trị trung gian q trình tính tốn B Biến thường khơng tương ứng với thực thể vật lý vi mạch mô tả C Hằng số đối tượng liệu dùng để lưu trữ giá trị không đổi chương trình D Giá trị tín hiệu xác định từ nhiều điều kiện logic độc lập với 12 Trắc nghiệm Câu 3: Mục đích khai báo cấu hình là: A Quy định sử dụng kiến trúc cụ thể thiết kế B Quy định cấu hình cổng vào thiết kế C Thiết lập tham số tĩnh cho thiết kế D Cấu hình cho liệu vào thiết kế 13 Trắc nghiệm Câu 4: Mục đích việc sử dụng giá trị mức logic kiểu liệu STD_LOGIC A Đảm bảo mô tả đầy đủ trạng thái vật lý mạch số B Đảm bảo mơ xác chức vi mạch số C Đảm bảo mơ tả đầy đủ dạng tín hiệu thật mạch phục vụ mục đích mơ kiểm tra D Phục vụ yêu cầu mở rộng cho đặc tính vi mạch tích hợp số so với mạch thơng thường 14 Tìm lỗi sai đoạn code sau entity logic_expample is port( A : in std_ulogic_vector(8 downto 0); U : out std_ulogic_vector(8 downto 0) ); end logic_expample; architecture dataflow of logicexpample is Begin A

Ngày đăng: 12/02/2020, 18:46

Xem thêm:

TỪ KHÓA LIÊN QUAN