ĐIỆN tử VIỄN THÔNG 3 vhdl khotailieu

88 106 1
ĐIỆN tử VIỄN THÔNG 3  vhdl khotailieu

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

NGÔN NGỮ VHDL Tài liệu tham khảo  Text Book:    Circuit Design with VHDL, Volnei A.Pedroni, MIT press VHDL Programming by Examples, Douglas L.Perry, McGraw Hill Reference Books:    1076 IEEE Standard Vhdl Language Reference Manual 2002, IEEE Computer Society Microprocessor Design Principles and Practices with VHDL, Enoch O Hwang HDL Chip Design- A Practical Guide for Designing, Synthesizing and Simulating ASICs and FPGAs using VHDL or Verilog, Douglas J.Smith Phần mềm học tập     Active-HDL 7.1.sp2 Quartus (for Altera FPGAs) ISE (for Xilinx FPGAs) www.opencores.org Nội dung môn học  Thiết kế mạch với ngôn ngữ VHDL            Giới thiệu VHDL Cấu trúc code Các kiểu liệu Các phép tốn thuộc tính Code song song/Code Tín hiệu biến Máy hữu hạn trạng thái Phương pháp thiết kế đa cấp (Packages,Components,Subprogram) Attibutes & Configurations 10 Tổng hợp mã VHDL Thiết kế CPU    Nguyên tắc thiết kế CPU Các thành phần CPU Tối ưu hóa, mơ phỏng, tổng hợp triển khai CPU Nội dung môn học  I Thiết kế mạch với ngôn ngữ VHDL           Giới thiệu VHDL Cấu trúc code Các kiểu liệu Các phép tốn thuộc tính Code song song/Code Tín hiệu biến Máy hữu hạn trạng thái Phương pháp thiết kế đa cấp (Packages, Components, Subprogram) Attibutes & Configurations II Thiết kế CPU Giới thiệu ngôn ngữ VHDL Phương pháp thiết kế HDL Phương pháp thiết kế truyền thống Giới thiệu ngôn ngữ VHDL  VHDL gì?  Một ngơn ngữ mơ tả phần cứng:    VHDL - VHSIC Hardware Description Language VHSIC - Very High Speed Integrated Circuits Là chuẩn Bộ QP Mỹ phát triển từ thập niên 70 Dựa ngôn ngữ lập trình ADA, nhằm tạo tài liệu mơ tả hoạt động mạch điện tử    1987 IEEE chuẩn hóa IEEE 1076-1987 1993 hoàn thiện lại thành IEEE 1076-1993 2002 giải vấn đề protected types=>IEEE 10762002 Giới thiệu ngôn ngữ VHDL  VHDL chuẩn độc lập mô tả hệ thống:      Các nhà phát triển hệ thống dựa VHDL để mô tả, thiết kế hệ thống Các phần mềm mơ thực mô hoạt động hệ thống mô tả Các phần mềm tổng hợp thực tổng hợp sinh mạch thực để thực hệ thống Mạch sau tổng hợp nạp xuống chip để thực chức mô tả Chức năng: mô tả hoạt động hệ thống mạch điện tử nhằm thực hệ thống mạch linh kiện thực Giới thiệu ngôn ngữ VHDL  Ưu điểm VHDL:    Cho phép hoạt động hệ thống mô tả (modeled) kiểm thử (simulated) trước công cụ tổng hợp “dịch” thiết kế sang phần cứng thực tế (gates and wires) Cho phép mô tả hệ thống song song Khi mơ hình VHDL “dịch” sang “gates and wires” nạp lên phần cứng CPLD FPGA để thực thi Giới thiệu ngơn ngữ VHDL  Hai ứng dụng VHDL là:  PLD (Programmable Logic Device):    CPLD (Complex PLD) FPGA (Field Programmable Gate Array) ASIC (Application-Specific IC) Kiểu mảng (Array Types)  Khởi tạo liệu mảng:    :="0001"; for 1D array :=('0','0','0','1') for 1D array :=(('0','1','1','1'), ('1','1','1','0')); for 1Dx1D or 2D array Ví dụ mảng 1D PACKAGE array_example IS TYPE data_bus IS ARRAY(0 TO 31) OF BIT; TYPE small_bus IS ARRAY(0 TO 7) OF BIT; END array_example; USE WORK.array_example.ALL; ENTITY extract IS PORT ( data : IN data_bus; start : IN INTEGER; data_out : OUT small_bus); END extract; ARCHITECTURE test OF extract IS BEGIN PROCESS(data, start) BEGIN FOR i IN TO LOOP data_out(i)

Ngày đăng: 12/11/2019, 13:22

Từ khóa liên quan

Mục lục

  • NGÔN NGỮ VHDL

  • Tài liệu tham khảo

  • Phần mềm học tập

  • Nội dung môn học

  • Slide 5

  • 1. Giới thiệu ngôn ngữ VHDL.

  • Slide 7

  • Slide 8

  • Slide 9

  • Slide 10

  • Quy trình thiết kế mạch dựa trên VHDL

  • Các công cụ thiết kế VHDL

  • Một ví dụ VHDL đơn giản

  • Slide 14

  • Slide 15

  • Code structure

  • Cấu trúc code

  • Thư viện LIBRARY

  • Slide 19

  • Slide 20

Tài liệu cùng người dùng

Tài liệu liên quan