đề cương ôn tập thiết kế logic số

6 264 1
đề cương ôn tập thiết kế logic số

Đang tải... (xem toàn văn)

Thông tin tài liệu

Đề cương ôn tập TKLGS Môn học: Thiết kế logic số Ngày biên soạn: Tháng năm 2012 Dùng cho: sinh viên hệ đào tạo quy Chương I, II Cổng logic bản, tham số thời gian cổng logic tổ hợp, nêu ví dụ Khái niệm mạch tổ hợp cách tính thời gian trễ mạch tổ hợp, khái niệm critical paths Các loại Flip-flop bản, tham số thời gian Flip-flop Khái niệm mạch dãy, cách tính thời gian trễ mạch dãy Khái niệm pipelined, phương pháp tăng hiệu suất mạch dãy Các phương pháp thể thiết kế mạch logic số, nêu phân tích ưu điểm phương pháp sử dụng HDL Nguyên lý thực hóa hàm logic IC khả trình dạng PROM, PAL, PLA, GAL, cấu trúc ma trận AND, OR, macrocell Cấu trúc thiết kế VHDL, đặc điểm ứng dụng dạng mô tả kiến trúc VHDL, ví dụ Trình bày liệu kiểu BIT STD_LOGIC Các dạng phát biểu có VHDL, phát biểu tuần tự, phát biểu song song, đặc điểm ứng dụng, lấy ví dụ Các dạng kiểm tra thiết kế, vai trò yêu cầu chung kiểm tra thiết kế VHDL, đồ dạng kiểm tra thiết kế VHDL vai trò chúng Chương III Trình bày thuật tốn cộng Carry look ahead adder, so sánh với thuật toán cộng nối tiếp tiêu chí tài nguyên tốc độ Trình bày thuật tốn cộng dùng full_adder, ưu nhược điểm thuật tốn 10 Trình bày cấu trúc ghi dịch, thuật tốn dịch khơng dùng tốn tử dịch, ví dụ ứng dụng ghi dịch 11 Trình bày thuật tốn cấu trúc khối nhân cộng dịch trái cho số nguyên không dấu Lấy ví dụ 12 Trình bày thuật tốn cấu trúc khối nhân cộng dịch phải cho số nguyên không dấu, so sánh với khối nhân cộng dịch trái Lấy ví dụ 13 Trình bày thuật tốn cấu trúc khối nhân số có dấu dùng mã hóa BOOTH số Lấy ví dụ 14 Trình bày thuật tốn cấu trúc khối nhân số có dấu dùng mã hóa BOOTH số 4, so sánh với thuật toán nhân thơng thường Lấy ví dụ 15 Trình bày thuật tốn cấu trúc khối chia số ngun khơng dấu có phục hồi phần dư Lấy ví dụ 16 Trình bày thuật tốn cấu trúc khối chia số khơng dấu khơng phục hồi phần dư Lấy ví dụ 16 Trình bày thuật tốn cấu trúc khối chia số ngun có dấu Lấy ví dụ 17 Trình bày thuật toán xây dựng FIFO LIFO sở Dual-port RAM 18 Các dạng biểu diễn số thực, chuẩn số thực dấu phẩy động IEEE/ANSI 754 Các phương pháp làm tròn số thực dấu phẩy động 18 Trình bày thuật toán cấu trúc khối cộng số thực dấu phẩy động theo chuẩn IEEE/ANSI 754 19 Trình bày thuật toán cấu trúc khối nhân số thực dấu phẩy động theo chuẩn IEEE/ANSI 754 20 Trình bày thuật toán cấu trúc khối chia số thực dấu phẩy động theo chuẩn IEEE/ANSI 754 Chương IV 18 Khái niệm FPGA, Các ưu điểm FPGA so sánh với IC khả trình trước đó, kiến trúc tổng quan FPGA kiến trúc FPGA SPARTAN 3E 19 Trình bày yếu tố tạo nên khả tái cấu trúc FPGA Khái niệm CLB, SLICE, LUT, Wide Multiplexer cách thức thực hàm logic nhiều đầu vào FPGA 20 Trình bày thiết kế chuỗi bit nhớ (Carry Chain), chuỗi số học (Arithmetic Chain) FPGA Trình bày cấu trúc IOB FPGA, khối làm trễ khả trình ứng dụng, khái niệm DDR 21 Các dạng tài nguyên kết nối có FPGA Các thành phần Block RAM, Dedicated Multiplier, DCM FPGA đặc điểm ứng dụng 22 Trình bày thuật toán đồ cấu trúc khối truyền nhận thơng tin nối tiếp (UART) 23 Trình bày thuật toán đồ cấu trúc khối giao tiếp VGA Phần tập Yêu cầu: Phần tập học sinh thực máy tính, mục đích kiểm tra kỹ thực hành, làm hoàn chỉnh có giản đồ sóng thể mạch làm việc với tổ hợp giá trị đầu vào theo yêu cầu giáo viên Thiết kế full_adder VHDL, sở thiết kế cộng bit tương tự IC 7483 A0 S0 A1 S1 A2 S2 A3 S3 B0 B1 7483 B2 B3 Cin Cout Thiết kế giải mã nhị phân 3_to_8 có đầu thuận, nghịch tương tự IC 74LS138 Y0 A Y1 B Y2 C E 74LS138 Y3 Y4 Y5 E1 Y6 E2 Y7 Thiết chọn kênh đầu vào đầu MUX4_1 tương tự IC 74153 hỗ trợ kênh chọn (IC có hai kênh chọn riêng biệt hình vẽ) I0a I1a Ya I2a I3a 74LS153 Ea I0b I1b Yb I2b I3b Eb S1 S0 Thiết phân kênh đầu vào đầu DEMUX1_4 Thiết kế cộng/ trừ bit sử dụng toán tử cộng VHDL Thiết kế so sánh hai số không dấu bit tương tự IC 7485 A0 A1 A2 A3 B0 B1 7485 B2 B3 A>B A>B A=B A=B AB A=B A=B A

Ngày đăng: 24/03/2019, 21:33

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan