Nghiên cứu bộ nghịch lưu tăng áp bảy bậc một pha

64 113 0
Nghiên cứu bộ nghịch lưu tăng áp bảy bậc một pha

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC CÔNG NGHỆ TP HCM - NGUYỄN THẾ DÂN NGHIÊN CỨU BỘ NGHỊCH LƯU TĂNG ÁP BẢY BẬC MỘT PHA LUẬN VĂN THẠC SĨ Chuyên ngành : Kỹ thuật điện Mã số ngành: 60520202 TP HỒ CHÍ MINH, tháng năm 2018 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC CÔNG NGHỆ TP HCM - NGUYỄN THẾ DÂN NGHIÊN CỨU BỘ NGHỊCH LƯU TĂNG ÁP BẢY BẬC MỘT PHA LUẬN VĂN THẠC SĨ Chuyên ngành : Kỹ thuật điện Mã số ngành: 60520202 HƯỚNG DẪN KHOA HỌC: PGS.TS NGUYỄN THANH PHƯƠNG TP HỒ CHÍ MINH, tháng năm 2018 CƠNG TRÌNH ĐƯỢC HỒN THÀNH TẠI TRƯỜNG ĐẠI HỌC CÔNG NGHỆ TP HCM Cán hướng dẫn khoa học : PGS.TS Nguyễn Thanh Phương (Ghi rõ họ, tên, học hàm, học vị chữ ký) Luận văn Thạc sĩ bảo vệ Trường Đại học Công nghệ TP HCM ngày 28 tháng 07 năm 2018 Thành phần Hội đồng đánh giá Luận văn Thạc sĩ gồm: (Ghi rõ họ, tên, học hàm, học vị Hội đồng chấm bảo vệ Luận văn Thạc sĩ) TT Họ tên PGS.TS.Ngô Cao Cường PGS.TS.Huỳnh Châu Duy TS.Võ Hoàng Duy PGS.TS.Nguyễn Hùng TS.Đoàn Thị Bằng Chức danh Hội đồng Chủ tịch Phản biện Phản biện Ủy viên Ủy viên, Thư ký Xác nhận Chủ tịch Hội đồng đánh giá Luận sau Luận văn sửa chữa (nếu có) Chủ tịch Hội đồng đánh giá LV TRƯỜNG ĐH CÔNG NGHỆ TP HCM VIỆN ĐÀO TẠO SAU ĐẠI HỌC CỘNG HÒA XÃ HỘI CHỦ NGHĨA VIỆT NAM Độc lập – Tự – Hạnh phúc TP HCM, ngày tháng năm 2018 NHIỆM VỤ LUẬN VĂN THẠC SĨ Họ tên học viên: NGUYỄN THẾ DÂN Giới tính: Nam Ngày, tháng, năm sinh: 19/06/1984 Nơi sinh: Tiền Giang Chuyên ngành: Kỹ thuật điện MSHV: 1641830005 I- Tên đề tài: Nghiên cứu nghịch lưu tăng áp bảy bậc pha II- Nhiệm vụ nội dung: - Tìm hiểu cấu hình nghịch lưu tăng áp đa bậc - Cải tiến cấu hình nghịch lưu pha tăng áp bảy bậc - Đề xuất giải thuật điều khiển cho nghịch lưu pha tăng áp bảy bậc - Mơ hình hóa mô phần mềm PSIM III- Ngày giao nhiệm vụ: IV- Ngày hoàn thành nhiệm vụ: V- Cán hướng dẫn: PGS TS Nguyễn Thanh Phương CÁN BỘ HƯỚNG DẪN (Họ tên chữ ký) KHOA QUẢN LÝ CHUYÊN NGÀNH (Họ tên chữ ký) i LỜI CAM ĐOAN Tơi xin cam đoan cơng trình nghiên cứu riêng Các số liệu, kết nêu Luận văn trung thực chưa cơng bố cơng trình khác Tơi xin cam đoan giúp đỡ cho việc thực Luận văn cảm ơn thơng tin trích dẫn Luận văn rõ nguồn gốc Học viên thực Luận văn (Ký ghi rõ họ tên) Nguyễn Thế Dân ii LỜI CÁM ƠN Với lòng kính trọng biết ơn sâu sắc, Tôi xin chân thành cảm ơn quý thầy cô, giảng viên trường Đại học Công nghệ thành phố Hồ Chí Minh tận tình giảng dạy, truyền đạt kiến thức q báu cho tơi tồn khóa học Đặc biệt, xin chân thành cảm ơn PGS.TS Nguyễn Thanh Phương bảo, hướng dẫn giúp đỡ tận tình, góp phần hồn thành Luận văn q trình học tập làm việc vừa qua Ngồi ra, xin gửi đến Thầy, Cô viện kỹ thuật, thầy cô viện sau Đại học Trường Đại học Cơng nghệ thành phố Hồ Chí Minh lời cảm ơn sâu sắc tạo điều kiện thuận lợi hỗ trợ nhiều trình học tập, công tác thời gian làm Luận văn Việc thực đề tài Luận văn chắn khơng tránh khỏi thiếu sót kiến thức chun mơn Kính mong nhận quan tâm, xem xét đóng góp ý kiến quý báu Quý Thầy, Cô học viên lớp để đề tài Luận văn hoàn thiện Một lần xin chân thành cảm ơn! Thành phố Hố Chí Minh, tháng năm 2018 Người thực Nguyễn Thế Dân iii TÓM TẮT Luận văn tập trung vấn đề liên quan đến “Nghiên cứu nghịch lưu tăng áp bảy bậc pha ” bao gồm nội dung sau: + Chương 1: Tổng Quan + Chương 2: Cơ sở lý thuyết + Chương 3: Cấu hình nghịch lưu pha tăng áp bảy bậc cải tiến + Chương 4: Kết mô + Chương 5: Kết luận iv ABSTRACT The thesis focuses on the issues related to "Study of one-stage seven-phase superimposed inverter system" including the following contents : + Chapter 1: Overview + Chapter 2: Theoretical Foundations + Chapter 3: Advanced seven-stage overcurrent configurations + Chapter 4: Simulation results + Chapter 5: Conclusions v MỤC LỤC LỜI CAM ĐOAN i LỜI CÁM ƠN ii TÓM TẮT iii ABSTRACT iv MỤC LỤC v DANH MỤC CÁC TỪ VIẾT TẮT vii DANH MỤC CÁC BẢNG viii DANH MỤC CÁC HÌNH ix Chương TỔNG QUAN 1.1 Tổng quan lĩnh vực nghiên cứu 1.2 Mục đích đề tài 1.3 Nhiệm vụ giới hạn đề tài 1.4 Phương pháp nghiên cứu 1.5 Điểm đề tài 1.6 Giá trị thực tiễn đề tài Chương CƠ SỞ LÝ THUYẾT 2.1 Lý thuyết nghịch lưu 2.1.1 Khái niệm 2.1.2 Bộ nghịch lưu áp 2.1.3 Các cấu trúc nghịch lưu đa bậc thông dụng 2.2 Nghịch lưu tăng áp truyền thống 2.3 Nghịch lưu tăng áp bậc hình T 10 2.3.1 Phương pháp điều khiển mạch nghịch lưu tăng áp bậc hình T 10 2.3.2 Nguyên lý hoạt động mạch nghịch lưu tăng áp bậc hình T 11 2.4 Nghịch lưu tăng áp bậc chuyển điốt tụ điện 12 2.4.1 Cấu trúc chuyển điốt tụ điện 13 vi 2.4.2 Phương pháp điều khiển mạch nghịch lưu tăng áp bậc chuyển điốt tụ điện 14 2.4.3 Nguyên lý hoạt động mạch nghịch lưu tăng áp bậc chuyển điốt tụ điện 14 2.5 Nghịch lưu bậc chuyển tụ điện 17 2.5.1 Cấu trúc chuyển tụ điện (SC) 17 2.5.2 Phương pháp điều khiển mạch nghịch lưu bậc chuyển tụ điện 18 2.5.3 Nguyên lý hoạt động mạch nghịch lưu bậc chuyển tụ điện 19 Chương 21 CẤU HÌNH NGHỊCH LƯU MỘT PHA TĂNG ÁP BẢY BẬC CẢI TIẾN 21 3.1 Cấu hình nghịch lưu pha tăng áp bảybậc 21 3.2 Phương pháp điều khiển tạo bậc điện áp ngõ 22 3.2.1 Phương pháp điều chế độ rộng xung bậc 22 3.2.2 Nguyên lý hoạt động 23 3.3 Tính tốn lựa chọn giá trị cho cuộn dây tụ điện 26 Chương 28 KẾT QUẢ MÔ PHỎNG 28 4.1 Sơ đồ mô phần mềm PSIM 9.0 28 4.2 Kết mô thực với phần mềm PSIM 28 4.2.1 Kết mơ với tần số sóng mang 5kHz 28 4.2.2 Kết mơ với tần số sóng mang 10kHz 35 4.2.3 Kết mô với tần số sóng mang 15kHz 42 Chương 46 KẾT LUẬN 46 5.1 Kết luận 46 5.2 Hướng phát triển 46 TÀI LIỆU THAM KHẢO 47 36 Hình 4.18: Xung điều khiển khóa S1, S2, S3 S4 Hình 4.19: Xung điều khiển khóa T1, T2, T3 T4 Hình 4.20: Điện áp sau mạch boost Điện áp DC cấp cho nghịch lưu 37 Hình 4.21: Điện áp ngõ nghịch lưu trước sau lọc - THD điện áp ngõ nghịch lưu trước sau lọc Fundamental Frequency 5.0000000e+001 HZ Vout 2.4567082e-001 Vload 3.3180725e-002 - Trị điệu dụng điện áp ngõ trước sau lọc: Vout 150 VAC Vload 145 VAC  Mô mạch với Am = 2.8 V Hình 4.22: Sóng mang e1, e2, e3 Vref 38 Hình 4.23: Xung điều khiển khóa S1, S2, S3 S4 Hình 4.24: Xung điều khiển khóa T1, T2, T3 T4 Hình 4.25: Điện áp sau mạch boost Điện áp DC cấp cho nghịch lưu 39 Hình 4.26: Điện áp ngõ nghịch lưu trước sau lọc - THD điện áp ngõ nghịch lưu trước sau lọc Fundamental Frequency 5.0000000e+001 HZ Vout 2.1865581e-001 Vload 3.6080745e-002 - Trị điệu dụng điện áp ngõ trước sau lọc: Vout 205 VAC Vload 201 VAC  Mô mạch với Am = V Hình 4.27: Sóng mang e1, e2, e3 Vref 40 Hình 4.28: Xung điều khiển khóa S1, S2, S3 S4 Hình 4.29: Xung điều khiển khóa T1, T2, T3 T4 Hình 4.30: Điện áp sau mạch boost Điện áp DC cấp cho nghịch lưu 41 Hình 4.31: Điện áp ngõ nghịch lưu trước sau lọc - THD điện áp ngõ nghịch lưu trước sau lọc Fundamental Frequency 5.0000000e+001 HZ Vout 1.8980746e-001 Vload 3.8042504e-002 - Trị điệu dụng điện áp ngõ trước sau lọc: Vout 239 VAC Vload 236 VAC Nhận xét: Các kết mơ từ hình 4.17 đến 4.31 phân tích THD cho trường hợp cho thấy tang biên độ Am Vref làm giảm THD tăng giá trị hiệu dung điện áp xoay chiều ngõ Điều giúp việc điều khiển điện áp ngõ thực thông qua điều chỉnh điện áp Vref 42 4.2.3 Kết mơ với tần số sóng mang 15kHz  Mơ mạch với Am = 2.5 V Hình 4.32: Sóng mang e1, e2, e3 Vref Hình 4.33: Điện áp ngõ nghịch lưu trước sau lọc - THD điện áp ngõ nghịch lưu trước sau lọc Fundamental Frequency 5.0000000e+001 HZ Vout 2.4380596e-001 Vload 2.6671701e-002 - Trị điệu dụng điện áp ngõ trước sau lọc: Vout 152 VAC Vload 149 VAC 43  Mô mạch với Am = 2.8 V Hình 4.34: Sóng mang e1, e2, e3 Vref Hình 4.35: Điện áp ngõ nghịch lưu trước sau lọc - THD điện áp ngõ nghịch lưu trước sau lọc Fundamental Frequency 5.0000000e+001 HZ Vout 2.1488173e-001 Vload 3.1697975e-002 - Trị điệu dụng điện áp ngõ trước sau lọc: Vout 205 VAC Vload 200 VAC 44  Mô mạch với Am = V Hình 4.36: Sóng mang e1, e2, e3 Vref Hình 4.37: Điện áp ngõ nghịch lưu trước sau lọc - THD điện áp ngõ nghịch lưu trước sau lọc Fundamental Frequency 5.0000000e+001 HZ Vout 1.8571204e-001 Vload 3.6007140e-002 - Trị điệu dụng điện áp ngõ trước sau lọc: Vout 238 VAC Vload 235 VAC 45 Nhận xét: Các kết mơ từ hình 4.32 đến 4.37 phân tích THD cho trường hợp cho thấy tăng biên độ Am Vref làm giảm THD tăng giá trị hiệu dung điện áp xoay chiều ngõ Điều giúp việc điều khiển điện áp ngõ thực thông qua điều chỉnh điện áp Vref Kết luận chung: Các kết mô cho thấy: - Khi tăng tần số song mang tăng THD có giảm khơng đáng kể Việc tăng tần số song mang dẫn đến việc tăng tần số đóng ngắt linh kiện làm tăng tổn thất đóng ngắt đòi hỏi kỹ thuật điều khiển phức tạp - Khi tăng biên độ Am tín hiệu Vref làm tăng trị hiệu dụng điện áp ngõ điều cho phép điều chỉnh điện áp nghịch lưu cách dễ dàng 46 Chương KẾT LUẬN 5.1 Kết luận Luận văn cải tiến cấu hình nghịch lưu tăng áp bảy bậc với nhiều ưu điểm so với mạch nghịch lưu nghiên cứu trước Luận văn trình bày phương pháp điều chế độ rộng xung, nguyên lý hoạt động, kết phân tích mạch, tính tốn lựa chọn tụ điện, cuộn dây cho mạch nghịch lưu tăng áp đa bậc đề xuất Trên sở lý thuyết trình bày, mơ hình mô PSIM tiến hành để chứng minh khả hoạt động mạch Từ kết mô kiểm chứng ưu điểm mạch nghịch lưu đề xuất:      Đảm bảo tần số ngõ xác 50 Hz. Chất lượng dạng sóng điện áp, dòng điện ngõ cao với THD < 3.5%. Số lượng linh kiện điện tử tham gia giảm đáng kể so với cấu hình nghịch lưu nghiên cứu trước Do cấu hình đề xuất vượt trội  Số bậc điện áp ngõ lớn, giúp giảm kích thước lọc. Bộ nghịch lưu ứng dụng việc chuyển đổi lượng tái tạo có điện áp đầu vào thấp quang điện hay pin nhiên liệu thành điện áp xoay chiều trị số cao 5.2 Hướng phát triển Tiến hành thực nghiệm cho mạch nghịch lưu pha công suất thấp Các kết nghiên cứu thực cấu hình pha tiếp tục phát triển thành cấu hình nghịch lưu tăng áp ba pha Dựa cấu hình nghịch lưu tăng áp bảy bậc khảo sát, tiến hành khảo sát thông số mạch, nghiên cứu tính tốn tổn hao mạch đề xuất Tìm hiểu mạch hồi tiếp dòng áp điều khiển hòa lưới, ổn định dòng điện tải thay đổi áp lưới thay đổi 47 TÀI LIỆU THAM KHẢO [1] L.G Franquelo, J Rodriguez, J I Leon, S Kouro, R Portillo, M A M Prats, “The age of multilevel converters arrives,” IEEE Ind Electron Mag., vol 2, no 2, pp 28-39, Jun 2008 [2] J Rodriguez, L G Franquelo, S Kouro, J I Leon, R C Portillo, M A M Prats, M A Perez, “Multilevel Converters: An Enabling Technology for High-Power Applications,” Proceedings of the IEEE, vol 97, no 11, pp 17861817, Nov 2009 [3] Y Liu, F L Luo, “Multilevel inverter with the ability of self-voltage balancing,” IEE Proceedings - Electric Power Applications, vol 153, no 1, pp 105-115, Jan 2006 [4] S De, D Banerjee, K S Kumar, K Gopakumar, R Ramchand, C Patel, “Multilevel inverters for low-power application,” IET Power Electron., vol 4, no 4, pp 384-392, Apr 2011 [5] C -C Hua, C -W Wu, C -W Chuang, “A novel dc voltage charge balance control for cascaded inverters,” IET Power Electron., vol 2, no 2, pp 147-155, March 2009 [6] J Chavarria, D Biel, F Guinjoan, C Meza, J J Negroni, “Energy balance control of PV cascaded multilevel grid-connected inverters under levelshifted and phase-shifted PWMs” IEEE Trans Ind Electron., vol 60, no 1, pp 98-111, Jan 2013 [7] S Kouro, M Malinowski, K Gopakumar, J Pou, L Franquelo, B Wu, J Rodriguez, M Perez and J Leon, “Recent advances and industrial applications of multilevel converters,” IEEE Trans Ind Electron., vol 57, no 8, pp 2553-2580, Aug 2010 [8] J Rodriguez, J.-S Lai, F Z Peng, “Multilevel inverters: A survey of topologies, controls, and applications,” IEEE Trans Ind Electron., vol 49, no 4, pp 724-738, Aug 2002 48 [9] T B Soeiro, J W Kolar, “The new high-efficiency hybrid neutralpoint-clamped converter,” IEEE Trans Ind Electron., vol 60, no 5, pp 19191935, May 2013 [10] S Dargahi, E Babaei, S Eskandari, V Dargahi, M Sabahi, “Flyingcapacitor stacked multicell multilevel voltage source inverters: analysis and modelling,” IET Power Electron., , vol 7, no 12, pp 2929-2987, Dec 2014 [11] X Zha, L Xiong, J Gong, F Liu, “Cascaded multilevel converter for medium-voltage motor drive capable of regenerating with part of cells,” IET Power Electron., vol 7, no 5, pp 1313-1320, May 2014 [12] K Ding, K W E Cheng, Y P Zou, “Analysis of an asymmetric modulation methods for cascaded multilevel inverters,” IET Power Electron., vol 5, no 1, pp 74-85, Jan 2012 [13] P Rodriguez, M D Bellar, R Santiago M.-Aguilar, S B.-Monge, F Blaabjerg, “Multilevel-Clamped Multilevel Converters (MLC ),” IEEE Trans Power Electron., vol 27, no 3, pp 1055-1060 Mar 2012 [14] O Husev, C R.-Clemente, E R.-Cadaval, D Vinnikov, S Stepenko, “Single phase three-level neutral-point-clamped quasi-Z-source inverter,” IET Power Electron., vol 8, no 1, pp 1-10, Jan 2015 [15] H R Teymour, D Sutanto, K M Muttaqi, P Ciufo, “A novel modulation technique and a new balancing control strategy for a single-phase fivelevel ANPC converter,” IEEE Trans Ind Appl., vol 51, no 2, pp 1215-1227, March-April 2015 [16] A Ajami, M R J Oskuee, A Mokhberdoran, A V D Bossche, “Developed cascaded multilevel inverter topology to minimize the number of circuit devices and voltage stresses of switches,” IET Power Electron., vol 7, no 2, pp 459-466, Feb 2014 [17] K Tsang, W Chan, “Single DC source three-phase multilevel inverter using reduced number of switches,” IET Power Electron., vol 7, no 4, pp 775783 April 2014 [18] A Mokhberdoran, A Ajami, “Symmetric and Asymmetric Design and 49 Implementation of New Cascaded Multilevel Inverter Topology,” IEEE Trans Power Electron., vol 29, no 12, pp 6712-6724, Dec 2014 [19] K K Gupta, S Jain, “Comprehensive review of a recently proposed multilevel inverter,” IET Power Electron., vol 7, no 3, pp 467-479, Mar 2014 [20] K.M Tsang and W.L Chan, “Single DC source three-phase multilevel inverter using reduced number of switches,” IET Power Electron., vol 7, no 4, pp 775-783, Apr 2014 [21] M FarhadiKangarlu, E Babaei, S Laali, “Symmetric multilevel inverter with reduced components based on non-insulated dc voltage sources,” IET Power Electron, vol 5, no 5, pp 571-581, May 2012 [22] K K Gupta, S Jain, “Topology for multilevel inverters to attain maximum number of levels from given DC sources,” IET Power Electron., vol 5, no 4, pp 435-446, Apr 2012 [23] K Wang, Y Li, Z Zedong, L Xu, “Voltage Balancing and Fluctuation-Suppression Methods of Floating Capacitors in a New Modular Multilevel Converter,” IEEE Trans Ind Electron., vol 60, no 5, pp 1943-1954, May 2013 [24] E Najafi, M H A Yatim, “Design and Implementation of a New Multilevel Inverter Topology,” IEEE Trans Ind Electron., vol 59, no 11, pp 4148-4154, Nov 2012 [25] Y Hinago, H Koizumi, “A Single-Phase Multilevel Inverter Using Switched Series/Parallel DC Voltage Sources,” IEEE Trans Ind Electron., vol 57, no 8, pp 2643-2650, Aug 2010 [26] A N Rahim, K Chaniago, J Selvaraj, “Single-Phase Seven-Level Grid-Connected Inverter for Photovoltaic System,” IEEE Trans Ind Electron., vol 58, no 6, pp 2435-2443, June 2011 [27] R Barzegarkhoo, M H Kojabadi, E Zamiry, N Vosooghi, L Chang, “Generalized structure for a single phase switched-capacitor multilevel inverter using a new multiple dc link producer with reduced number of switches,” IEEE Trans Power Electron., vol 31, no 8, pp 5604-5617, Aug 2016 [28] H Liu, M L Tolbert, S Khomfoi, B Ozpineci, Z Du, “Hybrid 50 cascaded multilevel inverter with PWM control method,” IEEE Power Electron Spec Conf., pp 162-166 June 2008 [29] E Babaei, S S Gowgani, “Hybrid multilevel inverter using switchedcapacitor units,” IEEE Trans Ind Electron., vol 61, no 9, pp 4614-4621, Sept 2014 [30] J Liu, E W K Cheng, Y Ye, “A cascaded multilevel inverter based on switched-capacitor for high-frequency ac power distribution system,” IEEE Trans Power Electron., vol 29, no 8, pp 4219-4230, Aug 2014 [31] Y Hinago, H Koizumi, “A switched-capacitor inverter using series/parallel conversion with inductive load,” IEEE Trans Ind Electron., vol 59, no 2, pp 878-887, Feb 2012 [32] F Gao, “An Enhanced Single Phase Step-Up Five-Level Inverter,” IEEE Trans Power Electron., vol 31, no 12, pp 8024-8030, Dec 2016 [33] S Hou, J Chen, T Sun, X Bi, “Multi-input step-up converters based on the switched-diode-capacitor voltage accumulator,” IEEE Trans Power Electron., vol 31, no 1, pp 381-393, Jan 2016 [34] Lê Văn Doanh, Nguyễn Thế Công, Trần Văn Thịnh Điện tử công suất - Lý thuyết, thiết kế, ứng dụng NXB khoa học kỹ thuật, 2008 [35] Trần Tấn Tài Ghép tần nghịch lưu tăng áp hòa lưới điện pha Luận văn thạc sĩ, Trường đại học Sư phạm Kỹ thuật Tp.HCM, 2016 [36] Lương Hoàn Tiến Nghiên cứu, phát triển nghịch lưu đa bậc giảm số lượng công tắc bán dẫn Luận văn thạc sĩ, Trường đại học Sư phạm Kỹ thuật Tp.HCM, 2017 [37] Ngô Bắc Biển Nghiên cứu nghịch lưu đa bậc chuyển tụ điện Luận văn thạc sĩ, Trường đại học Sư phạm Kỹ thuật Tp.HCM, 2017 ... 1641830005 I- Tên đề tài: Nghiên cứu nghịch lưu tăng áp bảy bậc pha II- Nhiệm vụ nội dung: - Tìm hiểu cấu hình nghịch lưu tăng áp đa bậc - Cải tiến cấu hình nghịch lưu pha tăng áp bảy bậc - Đề xuất giải... lưu tăng áp bậc hình T 10 2.3.1 Phương pháp điều khiển mạch nghịch lưu tăng áp bậc hình T 10 2.3.2 Nguyên lý hoạt động mạch nghịch lưu tăng áp bậc hình T 11 2.4 Nghịch lưu tăng áp bậc. .. hình nghịch lưu tăng áp đa bậc - Cải tiến cấu hình nghịch lưu pha tăng áp bảy bậc - Đề xuất giải thuật điều khiển cho nghịch lưu pha tăng áp bảy bậc - Mơ hình hóa mơ phần mềm PSIM 1.4 Phương pháp

Ngày đăng: 24/10/2018, 10:53

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan