VI XỬ LÝ VÀ CẤU TRÚC MÁY TÍNH

28 746 2
VI XỬ LÝ VÀ CẤU TRÚC MÁY TÍNH

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

PHỤ LỤC:BỘ CÔNG THƯƠNG1LỜI NÓI ĐẦU2I.CƠ SỞ LÝ THUYẾT61.Cấu trúc máy tính6a.Khái niệm6b.Các bộ phậncủa cấu trúc máy tính :6c.phần cứng và phần mền72.Cấu tạo và chức năng của 8067a.Sơ đồ khối của 80867b.Sơ đồ chân của 808610c.Các hàm ngắt và tập lệnh của 808612II.CÁC THIẾT BỊ NGOẠI VI161.Cấu tạo và chức năng của IC 8255A162.Cấu tạo ic giải mã 74273183.IC giải mã địa chỉ 74HC13919III.MỘT SỐ VÍ DỤ201.Ví dụ 120a.Sơ đồ thuật toán20b.Code chương trình202.Ví dụ 2223.Ví dụ 323a.Sơ đồ thuật toán24b.Code chương trình24c.Ghép nối phần cứng25IV.KẾT LUẬN26

BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI KHOA : ĐIỆN TỬ o0o - BÀI TẬP LỚN MÔN: VI XỬ CẤU TRÚC MÁY TÍNH  Giáo viên hướng dẫn: Tống Văn Luyên  Nhóm sv thực hiện: Lưu Đức Thuận Nguyễn Duy Hùng Dương Tiến Dũng CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI LỜI NÓI ĐẦU Ngày nay, khoa học kĩ thuật phát triển ngày mạnh mẽ, đời sống người ngày tăng cao, kéo theo nhu cầu sử dụng sản phẩm cơng nghệ gia đình, xí nghiệp, nhà máy lớn khơng thể thiếu Từ nhu cầu thực tiễn, hàng loạt sản phẩm ứng dụng, thiết bị đại phát minh sản xuất rộng rãi Để thiết bị làm nhiều cơng việc với hiệu cao, nhà sản xuất lập trình viên ứng dụng công nghệ đại, linh kiện xử với tốc độ cao, số cơng nghệ vi xử Công nghệ vi xử phát triển kể từ hãng sản xuất Intel tung thị trường chip vi xử 4004 vào năm 1971 với cấu trúc gồm 2,300 bóng bán dẫn bên Với phiên tiếp theo, công nghệ vi xử ngày chứng minh tầm quan trọng đời sống thực tiễn Một mốc son quan trọng mà Intel đạt hãng sản xuất vi xử mang tên 8086 có độ rộng liệu lên đến 16 bit với 29000 bóng bán dẫn tích hợp bên vi xử mở đầu cho họ vi xử x86 Nhóm sv thực hiện: CĐ ĐT7  Lưu Đức Thuận MSV:1231050642  Nguyễn Duy Hùng MSV:1231050643  Dương Tiến Dũng MSV:1231050632 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CƠNG NGHIỆP HÀ NỘI Nhận xét đóng góp ý kiến giáo viên: …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… …………………………………………………………………………………………… Chúng em xin chân thành cảm ơn! CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI PHỤ LỤC: BỘ CÔNG THƯƠNG LỜI NÓI ĐẦU I CƠ SỞ THUYẾT Cấu trúc máy tính a Khái niệm .6 b Các phậncủa cấu trúc máy tính : c phần cứng phần mền .7 Cấu tạo chức 806 .7 a Sơ đồ khối 8086 .7 b Sơ đồ chân 8086 10 c Các hàm ngắt tập lệnh 8086 12 II CÁC THIẾT BỊ NGOẠI VI 16 Cấu tạo chức IC 8255A 16 Cấu tạo ic giải mã 74273 18 IC giải mã địa 74HC139 19 III MỘT SỐ DỤ .20 dụ 20 a Sơ đồ thuật toán 20 b Code chương trình .20 dụ 22 dụ 23 a Sơ đồ thuật toán 24 b Code chương trình .24 c Ghép nối phần cứng 25 IV KẾT LUẬN 26 CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG I TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI CƠ SỞ THUYẾT Cấu trúc máy tính a Khái niệm Vi xử phận trung tâm máy tính, có nhiệm vụ xử thông tin, vào liều, điều khiển thiết bị ngoại vi,… Một máy tínhcấu trúc bao gồm: chip vi xử trung tâm (CPU), nhớ ROM, RAM thiết bị ngoại vi có nhiệm vụ giao tiếp máy tính với người Sơ đồ khối cấu trúc máy tinh sau: b Các phậncủa cấu trúc máy tính :  Bộ vi xử (CPU- Central Processin Unit) Với vai trò xử trung tâm, đầu não máy tính, vi xử phải thực thi nhiều nhiệm vụ từ việc vào/ra liệu, xử thông tin, tính tốn số liệu, điều khiển thiết bị ngoại vi,…  Bộ nhớ - Bộ nhớ chia thành RAM ROM: + RAM (Random Access Memory): nhớ ghi/đọc, có nghĩa ta đọc thơng tin từ nhớ, xóa thơng tin cũ nhớ ghi thông tin vào nhớ; nội dung thông tin RAM bị bị nguồn + ROM (Read Only Memory) :dùng để chứa chương trình điều khiển hệ thống chương trình để kiểm tra thiết bị bật nguồn, chương trình khởi động máy… Nội dung bên ROM không bị bị nguồn  Mạch ghép nối vào/ra Mạch ghép nối vao/ra có nhiệm vụ tạo khả giao tiếp hệ vi xử với giới bên Bao gồm thiết bị : thiết bị vào (bàn phím, chuột, máy qt….).thiết bị ra(màn hình, máy in, … ) CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI  Bus hệ thống Gồm có: + Bus điều khiển:là đương dây mang tín hiệu điều khiển hoạt động phản ánh trạng thái khối /RD, /WR, /INT… + Bus liệu đường dây mang số liệu mà vi xử trao đổi với thiết bị nhớ thiết bị ra/vào + Bus địa : mang thông tin địa ô nhowshay thiết bị vào/ra c phần cứng phần mền  Phần cứng Phần cứng (hardware) thuật ngữ dùng để tồn thiết bị khí, điện tử tạo nên máy tính ổ đĩa, hình,…  Phần mền Phần mền (software) thuật ngữ dùng để chỉcác chương trình máy tính, thực thi phần cứng cách điều khiển hoạt động phần cứng Các phần mền chia thành loại sau: Hệ điều hành DOS, Windows,… Trình tiện ích NC, NU, BKAV,… Chương trình ứng dụng MS Word, Protel,…… Ngơn ngữ lập trình pascal, C, C++, Java,… Cấu tạo chức 806 a Sơ đồ khối 8086 - Bên vi xử 8086 bao gồm khối chính: + Khối thực lệnh (EU- Execution Unit) nơi giả mã thi hành lệnh + giao tiếp bus (BIU- Bus Interface Unit) có nhiệm vụ đẩm bảo việc trao đổi thông tin 8086 với linh kiện bên ngồi - Sau tìm hiều cấu tạo bên khối CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG  TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI Khối thực lệnh (EU) Khối thực lệnh (EU- Execution Unit) nơi giả mã thi hành lệnh EU bao gồm: - Bộ xử số học logic(ALU - Arithmatic Logiccal Unit) nơi thưc lệnh số học lệnh logic - Các ghi đa năng: Có chứa ghi đa 16 bit, ghi chứa loại liệu, nhiên số công việc, ghi lại có chức đặc biệt riêng mà ghi khác không thực + Thanh ghi AX: ghi chứa, kết thao tác thường chứa Nếu kết bit ghi AL sử dụng + Thanh ghi BX: ghi sở, thương chứa địa sở bảng sử dụng lệnh XLAT + Thanh ghi CX: ghi đếm, thường chứa số lần lặp lại trường hợp dùng lênh LƠP, CL thường chứa số lần quay hay dịch bít ghi + Thanh ghi DX: ghi liệu, thường sử dụng với ghi AX để thực phép nhân hay chia số 16 bit DX sử dụng để chứa địa cổng lệnh vào/ra liệu trực tiếp - Thanh ghi cờ F đoạn ghi đặc biệt gọi đoạn ghi cờ hay đoạn ghi trạng thái Mỗi bit đoạn ghi dùng để phản ánh trạng thái định kết phép toán ALU thực trạng thái hoạt động CPU Đoạn ghi cờ có 16 bit dùng hết bit làm bit cờ CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI Các bit cờ chia thành hai loại: * Các cờ trạng thái: có cờ trạng thái C, P, A, Z, S O Các cờ trạng thái thiết lập xóa sau hầu hết lệnh toán học logic + C (Carry): cờ nhớ; + P (Parity): cờ chẵn lẻ; + A (Auxiliary): cờ nhớ phụ; + Z (Zero): cờ rỗng, + S (Sign): cờ dấu; + O (Overflow): cờ tràn, * Các cờ điều khiển: có cờ T, I, D Các cờ thiết lập xóa thơng qua lệnh để điều khiển chế độ làm việc vi xử + T (Trap): cờ bẫy, + I (Interrupt): cờ ngắt; + D (Direction): cờ hướng - Có đoạn ghi trỏ (IP, BP, SP) đoạn ghi số (SI, DI) Các đoạn ghi ngầm định sử dụng làm đoạn ghi lệch cho đoạn tương ứng: + IP (Instruction Pointer), BP (Base Pointer ), SP (Stack Ponter), SI (Source Index): DI (Destinaton Index) Bảng tóm tắt kết hợp ngầm định đoạn ghi đoạn đoạn ghi lệch: Đoạn ghi đoạn CS - Đoạn ghi lệch IP DS BX, DI, SI SS SP BP ES DI Địa Địa lệnh thực Địa đoạn liệu Địa đoạn ngăn xếp Địa chuỗi đích Khối điều khiển (CU- Control unit) Có nhiệm vụ tạo tín hiệu điều khiển phận bên bên CPU  Khối giao tiếp bus (BIU) Khối giao tiếp bus (BIU- Bus Interface Unit) có nhiệm vụ đẩm bảo việc trao đổi thông tin 8086 với linh kiện bên BIU gồm : CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI - Một cộng để tạo địa vật 20 bit từ ghi 16 bit - Bốn ghi đoạn 16 bit gồm CS, DS, SS ES để giúp 8086 truy cập tới đoạn nhớ + Thanh ghi đoạn mã CS (Code Segment), + Thanh ghi đoạn liệu DS (Data Segment) + Thanh ghi đoạn liệu phụ ES (Extra Segment) + Thanh ghi đoạn ngăn xếp SS (Stack Segment) - Mạch logic điều khiển có nhiệm vụ đảm bảo giao tiếp 8086 với thiết bị bên ngồi - Hàng đợi lệnh có độ dài byte nơi chứa mã lệnh đọc nằm sẵn để chờ EU xử b Sơ đồ chân 8086 Vi xử 8086 thiết kế để hoạt động hai chế độ, tùy thuộc vào mức điện áp đặt chân số 33 (chân MN/MX): CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 10 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI + Lệnh JC : nhảy cờ CF=1 + Lệnh nhảy không điều kiện (JuMP) : nhảy đến nhãn_nguồn gặp lệnh JMP nhan_nguon ; + Lệnh CMP (CoMPare) : so sánh toán hạng cách trừ toán hạng cho mà không lưu lại két CMP dich, nguon ; + Lệnh lặp : lặp lại nhãn_nguồn gặp lệnh LOOP nhan_nguon ; + Các lệnh AND, OR, XOR TEST AND dich,nguon OR dich,nguon ;AND đích với nguồn, kết lưu đích ;OR đích với nguồn, kết lưu đích XOR dich,nguon ;XOR đích với nguồn, kết lưu đích TEST dich,nguon ;AND đích với nguồn, kết không lưu lại + Lệnh dịch: SHL/SAL dich,1 ;dich sang trai bit SHL/SAL dich,CL ; dich sang trai nhieu bit SHR dich,1 ; dich sang phai bit SHR dich,CLL ; dich sang phai nhieu bit + Lệnh quay: ROL/ROR dich,1 ; quay đích sang trái/phải bit ROL/ROR dich,CL ; quay đích sang trái/phải n bit, với CL=n RCL/RCR dich,1 ; quay đích sang trái/phải bit RCL/RCR dich,CL ; quay đích sang trái/phải n bit, với CL=n + Lệnh HLT (HaLT): đưa vi xử vào trạng thai dừng để chờ ngắt ngồi Dạng lệnh: HLT + Lệnh LOCK: khóa bus mơi trường có nhiều vi xử + Lệnh NOP: không thực thao tác + Lệnh STI: IF thiết lập + Lệnh WAIT: Bộ vi xử trạng thái chờ ngắt + Lệnh PUSH: cất liệu vào ngăn xếp, giảm SP PUSH nguon; + Lệnh PUSHF: chuyển đoạn ghi cờ vào ngăn xếp PUSHF; + Lệnh POP: lấy liệu khỏi ngăn xếp đưa vào tốn hạng đích POP dich; CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 14 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI + Lệnh POPF: chuyển nội dung byte từ đinh ngăn xếp vào đoạn ghi cờ, sau tăng trỏ ngăn xếp lên POPF; + Lệnh CALL: gọi thủ tục CALL nhan; + Lệnh RET: trả lại điều khiển thủ tục thực xong RET;  Các hàm ngắt 21h 8086 - Hàm 1: hàm chờ đọc vào ký tự từ thiết bị vào chuẩn(bàn phím) Kết lưu vào AL MOV AH,1 cú pháp : INT 21H - Hàm : hàm hiển thị nội dung ghi DL lên hình thi hành chức điều khiển Cú pháp : MOV AH,2 MOV DL,’A’ Int 21H - Hàm 4CH : hàm kết thúc chương trình trả điều khiển cho chương trình gọi Cú pháp : MOV AH,4CH INT 21H - Hàm : Là hàm hiển thị hình chuỗi kí tự Cú pháp : MOV AX,@DATA MOV DS,AX ;khoi tao ghi doan du lieu DS MOV AH,9 LEA DX,’chuoi ki tu’ INT 21H  Cấu trúc chương trình lập trình cho 8086 model small ;khai bao kieu bo nho la small stack 100h ;khai bao kich thuoc ngan xep la 100h data ;khai bao doan du lieu ;khai báo biến, code ;khai bao doan ma Main proc ;các lệnh chương trình CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 15 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI Main endp ;các hàm thủ tục End main II CÁC THIẾT BỊ NGOẠI VI Cấu tạo chức IC 8255A Sơ đồ chân chức chân - Trên thị trường nghiên cứu nghiên cứu loại đóng gói dạng DIP 40 chân IC 8255A: - Các chân 14, 15, 16, 17, 13, 12, 11, 10: tương ứng theo thứ tự từ PC0 đến PC7 Đây cổng giao tiếp liệu bít PC, cần thiết, tách thành phần PC cao từ bít PC7 đến PC4 PC thấp từ bít PC0 đến PC3.đặc biệt, hai phần hoạt động độc lập với cần tùy thuộc vào ghi điều khiển cài đặt mà cổng vào/ liệu - Các chân 4, 3, 2, 1, 40, 39, 38, 37: tương ứng với cổng PA từ PA0 đến PA7 Đây cổng giao tiếp liệu bit vào/ PA tùy theo ghi điều khiển cài đặt mà cổng xuất liệu nhận liệu vào Cổng khác với cổng PC, khơng thể tách làm độc lập với - Các chân từ 18 đến 25: tương ứng với cổng PB từ PB0 đến PB7 Tương tự cổng PA, cổng PB đưa lieu bít vào cách thiết lập giá trị ghi điều khiển CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 16 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI - Các chân từ 27 đến 34 : tương ứng theo thứ tự từ D7 đến D0 - Bus liêu(2 chiều) Bus liệu chiều nối tới tín hiệu tương ứng Vi xử để trao đổi liệu vào/ra chip 8086 xử - Chân 35: chân Reset - khởi tạo trạng thái ban đầu IC 8255 Nếu đặt mức lên mức IC bị RESET lại từ đầu để mạch chạy được, phải đặt chân mức 0V – GND - Chân 6: chân /CS - Tín hiệu chọn vi mạch Đây tín hiệu tích cực mức thấp 0v, vây phải đặt chân mức thấp để chọn IC 8255 hoạt động nhơ vậy, chân sử dụng để kết hợp với mạch giải mã địa để Vi xử điều khiển hoạt động yêu cầu - Chân 5: chân /RD (Read)- chân tín hiệu cho phép đọc - Chân 36: chân /WR(Write) – chân tín hiệu cho phép ghi - Chân 8: tương ứng với chân tín hiệu địa A0 – A1, chân nối với bít tách từ tách địa 8086, chân dùng để giải mã cho cổng 8255 với quy luật sau: + A1A0 00: mã hóa cho cổng PA + A1A0 01: mã hóa cho cổng PB + A1A0 10: mã hóa cho cổng PC + A1A0 11: mã hóa cho ghi điều khiển Chính vậy, để chọn vị trí cổng phải đưa bít tách từ tách tín hiệu địa cho chân mã hóa quy luật A1, A0 8255  chế độ hoạt động Tuy thuộc vào đoạn ghi điều khiển khởi tạo mà vi mạch hoạt động chế độ 0, 1, khác nhau, chiều cổng A, B, C vào Thanh ghi điều khiển gồm có bit, bít có chức khác : D6 D5 PA PC cao D2 PB PC thấp + Bit D6 D5 dùng để chọn chế độ nhóm A  Nếu D6D5 00 chọn chế độ  Nếu D6D5 01 chọn chế độ  Các trường hợp khác khơng xác định + Bít PA: chọn chiều cho cổng PA,  Nếu PA=0: cổng PA xuất liệu  Nếu PA=1: cổng PA nhận dự liệu bên ngồi vào + Bít PC cao: chọn chiều ra/vào cho bit cao cổng PC CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 17 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI  Nếu PC=0 cho phép cổng PC cao xuất liệu  Nếu PC=1 cho phép cổng PC ca0 nhận liệu + Bít D2: chọn chế độ nhóm B  Nếu D2=0 chọn chế độ  Nếu D2=1 chọn chế độ + Bít PB: chọn chiều ra/vào cho cổng PB  Nếu PB=0 cho phép cổng PB xuất liệu  Nếu PB=1 cho phép cổng PB nhận liệu + Bít PC thấp: chọn chiều ra/vào cho bit thấp cổng PC  Nếu PC=0 cho phép cổng PC thấp xuất liệu  Nếu PC=1 cho phép cổng PC thấp nhận liệu VD: để chọn chọn chế độ nhóm A chế độ 0, nhóm B chế độ 0, cổng PA, PB xuất liệu, cổng PC nhận liệu , ta cài đặt ghi điều khiển sau: Mov al, 100010001B Out DK, al Chế độ 0: + cổng A, B, C sử dụng đọc lập với + Cổng A, B, C vào tùy vào đoạn ghi điều khiển - Chế độ 1: chế độ gọi chế độ vào/ra đột cửa hay ddooid thoại với bit cổng C Các cổng A, B, C chia thành nhóm: + Nhóm A gồm cổng A để trao đổi liệu cổng C cao để đồi thoại với Vi Xử thiếu bị + Nhóm B gồm cổng B để trao đổi liệu cổng C thấp để đồi thoại với Vi Xử thiếu bị Cấu tạo ic giải mã 74273 Cấu tạo bên chức chân : CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 18 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI IC 74273 IC số tích hợp bỏi flip-flop loại D lắp theo kiểu đồng xung đồng hồ chân clear IC gồm có 20 chân đó: + Chân 20 nối với Vcc nằm dải 4,75 đến 5,25 Volt + Chân 10 nối với Mass + Chân chân Clear (MR) + Chân 11 chân xung đồng hồ (CP) + Các chân 3, 4, 7, 8, 13, 14, 17, 18 chân tín hiệu vào nối với dây tín hiệu đa hợp Vi Xử + Các chân 2, 5, 6, 9, 12, 15, 16, 19 chân tín hiệu địa tách Do tích hợp FF_D nên IC tách đương địa đa hợp cua 8086 mạch cần IC 74273 để tách hết 16 đường địa 8086 Tùy theo đề yêu cầu mà đầu sử dụng với mục đích khác nhau, chân nối với mạch giải mã địa đưa vào chân CS 8255 dành bit để mã hóa cho cổng 8255, chân nối vào chân A1, A0 8255 IC giải mã địa 74HC139 sơ đồ cấu tạo bên chức chân IC 74LS139 CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 19 BỘ CÔNG THƯƠNG - TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI IC 74HC139 IC giải mã bít với đầu tích cực mức âm IC đóng gới dạng DIP 16 chân Bên IC tích hợp giải mã bit với chức chân sau: o Chân (1G) 15(2G): chân chọn chip giải mã tích cực mức thấp, nghĩa là, phép giải mã hoạt động chân G tương ứng đặt mức 0V o Chân 2, 14, 13: chân tín hiệu cần giải mã vào tương ứng giải mã o Chân 4, 5, 6, 7: chân tín hiệu Y0, Y1, Y2, Y3 giải mã thứ o Chân 12, 11, 10, 9: chân tín hiệu giải mã thứ hai o Chân (GND) chân 16 (Vcc): chân nguồn ni chip - Cơ chế mã hóa sau: chân tín hiệu đầu vào B,A đặt mưc logic tương ứng là: o 00: chân Y0 mức logic thấp(0v), chân lại mức cao o 01: chân Y1 mức logic thấp(0v), chân lại mức cao o 10: chân Y2 mức logic thấp(0v), chân lại mức cao o 11: chân Y3 mức logic thấp(0v), chân lại mức cao III MỘT SỐ DỤ dụ CHỦ ĐỀ: Nhập vào từ bàn phím số có hai chữ số khơng nhau, tìm số có giá trị nhỏ số hiển thị kết dạng số 16 CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 20 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI a Sơ đồ thuật toán Bắt đầu lần Nhập vào chữ số thứ Lấy ngăn xếp vào BX AND AX với 000Fh Gán BX = 10 AX = AX x BX Gán BX = AX Lấy ngăn xếp vào AX AX > BX ? S Nhập vào chữ số thứ hai AND AX với 000Fh Cộng AX = AX + BX Cất AX vào ngăn xếp thoát Hiển thị DL Đ 1lầ n BX = AX lần Quay trái BX bit Gán DL=BL And DL với 0Fh Cộng DL với 30h DL > 39h ? DL = DL+07h b Code chương trình model small stack 100h data tb1 db 10,13,"nhap so thu $" ; xuong dong,ve dau dong tb2 db 10,13," so nho nhat dang hexa la: $" j db ; khai bao bien j code main proc mov ax,@data mov ds,ax mov cx,3 ; cho phep nhap lan nhap: ; - hien thi thong bao so lan nhap add j,1 mov ah,9 lea dx,tb1; int 21h ;moi lan nhap dua thong bao thu mov ah,2 CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 21 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI mov dl,j ; gan dl=j add dl,30h ; gan dl=dl+30h=j+30h int 21h ; ham ngat cho phep hien thi mov dl,3ah; hien thi dau ":" sau vi tri int 21h ; - nhap vao so thap phan co chu so -mov ah,1 ; goi ham nhap int 21h ; cho phep nhap and ax,0fh ; lay bit thap cua ghi al mov BX, 10 ; gan bx=10 mul bx ; chia ax cho bx=10 mov bx,ax ; gan bx=ax mov ah,1 ; nhap so thu int 21h and ax,0fh ; lay bit thap cua al add ax,bx ; cong ax= ax+bx push ax ; cat ax vao ngan xep loop nhap ; lap lai vong nhap ; -pop BX ; lay du lieu cuoi vao bien mov cx,2 ; gan cx=2 kk: pop ax ; lay du lieu tu ngan xep vao t.ghi AX cmp ax,BX ; so sanh AX voi jg ii ; neu AX > thi nhay toi ii mov BX,ax ; neu ax m= Vậy sử dụng vi mạch RAM 16Kx8 - Từ yêu cầu đó,chúng ta ghép nối vi mạch nhớ thành nhớ có dung lượng 96Kx8 với địa đầu 00000  Giải mã địa vi mạch nhớ : Vi mạch nhớ AAAA 1 1 AAAA 11 1 AAAA 1 AAAA AAAA Địa ROM1 8Kx8 0000 0000 0000 0000 0000 0000 0000 0000 0000 0000 0001 0001 0001 0001 0000 0001 0010 0011 0100 0111 1000 1011 1100 1111 0000 0011 0100 0111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 0000 1111 00000 01FFF 02000 03FFF 04000 07FFF 08000 0BFFF 0C000 0FFFF 10000 13FFF 14000 17FFF ROM2 8Kx8 ROM3 16Kx8 RAM1 16Kx8 RAM2 16Kx8 RAM3 16Kx8 RAM4 16Kx8 - Từ bảng giải mã địa vi mạch nhớ, nhận thấy vi mạch nhớ có bit từ A16 đến A19 có mức logic khơng thay đổi tồn giải địa CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 23 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI vi mạch nhớ Chúng ta sử dụng bit để đưa vào mạch mã hóa chọn nhớ đầu hoạt đông + bit A15 A14 vi mạch nhớ có giá trị trạng thái khác nhau, tương ứng với trạng thái số đếm nhị phân bit Từ sử dụng bit để mã hóa cho vi mạch nhớ bit đưa vào đầu vào B, A tương ứng + riêng với vi mạch nhớ ROM đầu tiên, bit A15 A14 có giá trị 00 nhiên bit A13 ROM1 ROM 1, ta kết hợp bít với bít A14 A15 để chọn vi mạch ROM hoạt động - Đối với vi mạch RAM 16K cuối cùng, ta để ý thấy bit đầu từ A15 đến A19 có giá trị logic khơng đổi, sử dụng bit kết hợp để chọn cho vi mạch cuối hoạt động ngồi ra, bit A14 vi mạch có giá trị khác nhau, ta sử dụng bít để chọn vi mạch hoạt động  Mạch ghép nối nhớ sau: dụ Đề bài: Thiết kế mạch ghép nối vi xử 8086 8255 với địa cổng PA, PB, PC, ghi điều khiển 10,12,14,16 Ghép đèn với cổng PB, theo kiểu Cathodes chung Viết chương trình điều khiển led sáng theo vòng tròn với thời gian trễ 1s Chuỗi LED sang dừng lại ấn nút điều khiển a Sơ đồ thuật tốn CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 24 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI Bắt đầu Gán i=0000 0001 Gán AL = i Xuất AL PC Lấy liệu từ PC vào AL 30,000 lần AL=0F h? Đ Quay trái i bit S Trễ thời gian Lấy liệu từ PC S AL=70 h? Đ Trễ thời gian b Code chương trình data segment pa equ 10h ; dia chi cong PA la 10h pb equ 12h ; dia chi cong PB la 12h pc equ 14h ; dia chi cong PC la 14h creg equ 16h ; dia chi gi dieu khien la 16h i db ends stack segment dw 128 dup(0) ends code segment start: mov ax, data mov ds, ax CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 25 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI mov al,88h ;xuat du lieu cong PC, PB, out creg,al ; cho phep cong PA nhan du lieu ;=============== mov i,01 ; gan i=0000 0001 lap: mov al,i ;gan AL=i out pb,al ;xuat AL PB mov cx,30000 ; tao vong lap tre khoang 1s l: in al,pc ; lay du lieu tu PC vao AL cmp al,0f0h ; so sanh AL voi 0F0h jne kiemtra ; neu AL khac 0F0h thi nhay toi c,trinh kiemtra loop l ; neu AL=0F0h thi lap lai L den CX=0 rol i,1 ; quay trai i bit jmp lap ; lap lai c.trinh lap kiemtra: call tre ; goi ham tre thoi gian in al,pc ; lay du lieu tu pc vao al cmp al,70h ;so sanh AL voi 70h jne kiemtra ; khong bang thi lap lai c.trinh kiemtra call tre ;bang thi goi ham tre toi gian jmp lap ;quay lai c.trinh lap tre: ; ham tre de cho nut bam nha? mov cx,15000 ; gan CX=15000 j: nop ; khong lam gi loop j ; lap lai j den cx=0 ret ; tra ve c.trinh chinh endp end start c Ghép nối phần cứng - Theo giả thiết đề cho, chúng phải ghép nối 8086 với 8255 với địa cổng PA, PB, PC ghi điều khiển 10h, 12h, 14h, 16h Để ghép nối xác phải xác định địa cần ghép nối 8086 8255 - Chúng ta tìm hiểu giải địa cổng ghép nối 8255 chi tiết sau: Cổng PA PB PC CĐ ĐT7 A15 A14 A13 A12 A11 A10 A9 A8 0000 0000 0000 0000 0000 0000 A7 A6 A5 A4 A3 A2 A1 A0 0001 0001 0001 0000 0010 0100 Địa 10h 12h 14h nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 26 BỘ CÔNG THƯƠNG ĐK TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI 0000 0000 0001 0110 16h - Từ bảng giải mã địa cổng 8255, chọn bit để đưa vào chân A1 A0 8255 để mã hóa cho cổng Từ quy tắc mã hóa cho cổng PA, PB, PC ghi điều khiển, ta nhận thấy bit A2 A1 có quy tắc biến đổi tương tự với A A0 8255 đưa bit A2, A1 vào đầu vào tương ứng A1, A0 để mã hóa cho cổng 8255 - Đối với bít lại A0, A3 đến A15 có giá trị khơng đổi suốt q trình chọn cổng nên ta đưa chúng vào cổng OR với đầu nỗi với chân 8255 phép 8255 hoạt động dải địa cho  Sơ đồi ghép nối mạch sau: IV KẾT LUẬN Nhìn chung, vi xử 8086 bước tiến lớn hãng sản xuất Intel lập trình vi xử lý, bước mở đầu cho phát triển hệ vi xử với tính mạnh mẽ, kết nối với thiết bị ngoại dễ dàng với tập lệnh hỗ trợ ngày lớn Vơi chúng em thực mô phần mêm chuyên dụng, thấy mà vi xử làm mà vi xử 8086 chưa thể giải như: mạch kết nối cồng kềnh, chi phí lắp đặt cao, tốc độ xử thấp Vơi mà nhóm em tiếp thu học tập, nhóm em hồn thành tập lớn thành cơng Tuy nhiên q trình trình bày khơng thiếu sai sót, mong thầy bỏ qua đóng góp cho chúng em ý kiến hữu ích để chúng em hoàn thiện thân hơn, thành công tập lớn Được vậy, nhờ dạy nhiệt tình thầy Lun thầy khoa điện tử chúng em xin chân thành cảm ơn thây Lun thầy khoa nói riêng, nhà trường nói chún CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 27 BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI Trong báo cáo có tham khảo tài liệu nhóm khác, trang web hỗ trợ thông tin cấu trúc linh kiện www.alldatasheet.com CĐ ĐT7 nhóm SV: Lưu Đức Thuận – Nguyễn Duy Hùng – Dương Tiến Dũng 28 ... SỞ LÝ THUYẾT Cấu trúc máy tính a Khái niệm Vi xử lý phận trung tâm máy tính, có nhiệm vụ xử lý thông tin, vào liều, điều khiển thiết bị ngoại vi, … Một máy tính có cấu trúc bao gồm: chip vi xử lý. .. thiết bị ngoại vi có nhiệm vụ giao tiếp máy tính với người Sơ đồ khối cấu trúc máy tinh sau: b Các phậncủa cấu trúc máy tính :  Bộ vi xử lý (CPU- Central Processin Unit) Với vai trò xử lý trung tâm,... nghệ đại, linh kiện xử lý với tốc độ cao, số cơng nghệ vi xử lý Công nghệ vi xử lý phát triển kể từ hãng sản xuất Intel tung thị trường chip vi xử lý 4004 vào năm 1971 với cấu trúc gồm 2,300 bóng

Ngày đăng: 13/12/2017, 17:14

Từ khóa liên quan

Mục lục

  • BỘ CÔNG THƯƠNG

  • LỜI NÓI ĐẦU

  • I. CƠ SỞ LÝ THUYẾT

  • 1. Cấu trúc máy tính

  • a. Khái niệm

  • b. Các bộ phậncủa cấu trúc máy tính  :

  • c. phần cứng và phần mền

  • 2. Cấu tạo và chức năng của 806

  • a. Sơ đồ khối của 8086

  • b. Sơ đồ chân của 8086

  • c. Các hàm ngắt và tập lệnh của 8086

  • II. CÁC THIẾT BỊ NGOẠI VI

  • 1. Cấu tạo và chức năng của IC 8255A

  • 2. Cấu tạo ic giải mã 74273

  • 3. IC giải mã địa chỉ 74HC139

  • III. MỘT SỐ VÍ DỤ

  • 1. Ví dụ 1

  • a. Sơ đồ thuật toán

  • b. Code chương trình

  • 2. Ví dụ 2

Tài liệu cùng người dùng

Tài liệu liên quan