1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

THIẾT kế bộ NHÂN 5 BIT NGÔN NGỮ VHDL

29 1.4K 8

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

có sơ đồ nguyên lý, sơ đồ khối, sơ đồ thuật toán và hướng dẫn chi tiết về THIẾT kế bộ NHÂN 5 BIT NGÔN NGỮ VHDL. ..................................................................................................................................

ĐỒ ÁN Trang 1/31 THIẾT KẾ BỘ NHÂN BIT NGÔN NGỮ VHDL/VERILOG MỤC LỤC ĐỒ ÁN Trang 2/31 DANH MỤC HÌNH VẼ Hình 1.1 Tóm tắt qui trình thiết kế VHDL………………………………………… Hình 1.2 Sơ đồ tổng quát cộng đầy đủ……………………………………… Hình 2.1 Các phần tử đoạn mã VHDL…………………………… Hình 2.2 Các phần tử LIBRARY…………………………………………… Hình 3.1 Minh họa 1D, 1Dx1D, 2D………………………………………………… 15 ĐỒ ÁN Trang 3/31 CHƯƠNG : TÌM HIỂU NGÔN NGỮ VHDL 1.1 GIỚI THIỆU VHDL VHDL ngôn ngữ mô tả phần cứng cho mạch tích hợp tốc độ cao, loại ngôn ngữ mô tả phần cứng phát triểndùng cho chương trình VHSIC( Very High Speed Itergrated Circuit) quốc phòng Mĩ Mục tiêu việc phát triển VHDL có ngông ngữ mô phần cứng tiêu chuẩn thông cho phép thữ nghiệm hệ thống số nhanh cho phép dễ dàng đưa hệ thống vào ứng dụng thực tế Ngôn ngữ VHDL ba công ty Intermetics, IBM Texas Instrusment bắt đầu nghiên cứu phát triển vào tháng năm 1983.Phiên công bố vào tháng 8-1985 Sau đó, VHDL đề xuất để tổ chức IEEE xem xét thành tiêu chuẩn chung.Năm 1987 đưa tiêu chuẩn VHDL( tiêu chuẩn IEEE-1076-1987) 1.1.1Qui trình thiết kế mạch VHDL - Việc chế tạo vi mạch chia thành giai đoạn : -  Giai đoạn : Bắt đầu thiết kế viết mã VHDLVHDL lưu vào file có đuôi vhdl có tên với tên thực thể Hình 1.1 Tóm tắt qui trình thiết kế VHDL  Giai đoạn : Giai đoạn chế tạo ĐỒ ÁN Trang 4/31 - - Bước cho trình chế tạo biên dịch Quá trình biên dịch chuyển mã VHDL vào netlist tầng cổng Bước thứ trình chế tạo tối ưu Qúa trính tối ưu thực neslist tầng cổng tốc độ phạm vi Trong giai đoạn này, thiết kế mô để kiểm tra phát lỗi xảy trình chế tạo  Giai đoạn Là gia đoạn ghép nối đóng gói phần mềm Ở giai đoạn tạo xếp vật lí cho chip PLD/FPGA tạo mặt nạ cho ASIC 1.1.2.Chuyển mã VHDL vào mạch - Một cộng đủ mô tả hình : Hình 1.2.a Sơ đồ tổng quát cộng đầy đủ Trong a, b bit vào cho cộng, cin bit nhớ Đầu s bit tổng, cout bit nhớ Hoạt động mạch bảng chân lí sau - Bit s cout tính : s = a ⊕ b ⊕ cin cout = a.b + a.cin + b.cin ĐỒ ÁN Trang 5/31 1.2.CẤU TRÚC MÃ - Chương mô tả phần có chứa đoạn code nhỏ VHDL : khai báo IBRARY, ENTITY, ARCHITECTURE 1.2.1.Các đơn vị VHDL - Một đoạn code chuẩn VHDL gốm tối thiểu mục sau: - Khai báo LIBRARY : chứa danh sách tất thư viện sử dụng thiết kế ví dụ : ieee, std,work,… - ENTITY : Mô tả chân vào (I/O pins) mạch - ARCHITECTURE : chứa mã VHDL, mô tả mạch hoạt động 1.2.2.Khai báo LIBRARY - Để khai báo Library, cần hai dòng mã sau, dòng thứ chứa tên thư viện, dòng chứa mệnh đề cần sử dụng LIBRARY library_name; USE library_name.package_name.package_parts; - Thông thường có gói, từ thư Viện khác thường sử dụng thiết kế: • ieee.std_logic_1164(from the ieee library), • standard(from the std library), and • work(work library) ĐỒ ÁN Trang 6/31 Hình 2.1 Các phần tử đoạn mã VHDL Hình 2.2 Các phần tử LIBRARY - Các thư viện std work thường mặc định, không cần khai báo chúng, có thư viện ieee cần phải viết rõ ĐỒ ÁN Trang 7/31 - Mục đích gói/thư viện kể sau: gói std_logic_1164 thư viện ieee cho biết hệ logic đa mức, atd thư viện tài nguyên (kiểu liệu, i/o text,…) cho môi trường thiết kế VHDL thư viện work sử dụng lưu thiết kế(file.vhdl, file tạo chương trìn dịch chương trình mô ) 1.2.3.Entity(thực thể) - Một ENTITY danh sách mô tả chân vào/ra(các port) mạch điện Cú pháp sau: ENTITY entity_name IS PORT( port_name : signal_mode signal_type; port_name : signal_mode signal_type; … ); END entity_name; Ví dụ : xét cổng NAND, khai báo ENTITY sau: ĐỒ ÁN Trang 8/31 1.2.4.ARCHITECTURE - ARCHITECTURE mô tả mạch dùng để mạch làm việc nào(có chức gì) - Cú pháp sau : ARCHITECTURE architecture _name OF entity_name IS [ declarations] BEGIN (code) END architecture_name; - Như thấy trên, cấu trúc có phần: phần khai báo (chức năng) nơi tín hiệu khai báo, phần mã (code_ từ BEGIN trở xuống) ví dụ : Xét trở lại cống NAND ARCHITECTURE myarch OF nand_gate IS BEGIN x có kiểu BIT_VECTOR, có kiểu INTEGER Có toán tử dịch : • S11 Toán tử dịch trái điền vào phía phải • R11 Toán tử dịch phải điền vào phía trái 1.5 THIẾT KẾ MẠCH SỐ CƠ BẢN 1.5.1.Sử dụng toán tử - Các toán tử sử dụng thành phần mạch tổ hơp Bảng 5.1.Các toán tử 1.5.2.Mệnh đề WHEN - WHEN thành phần khối lệnh song song Nó xuất hai trường hợp.WHEN/ELSE, WITH/SELECT/WHEN Cú pháp trình bày sau: ĐỒ ÁN Trang 18/31 - Ví dụ dùng mệnh đề WHEN Hình 5.1.Bộ dồn kênh ĐỒ ÁN Trang 19/31 ĐỒ ÁN Trang 20/31 1.5.3.GENERATE - GENERATE khối lệnh song song khác.Nó tương đương với khối lệnh LOOP việc cho phép đoạn lệnh thực lặp lại số lần đó.Mẫu dùng FOR/GENATE - Một cách khác sử dụng GENERATE dùng IF mệnh đề ELSE không sử dụng Một cách hay sử dụng dùng IF FOR/GENERATE Mẫu sử dụng sau ĐỒ ÁN Trang 21/31 ví dụ : 1.5.4.Phát biểu 1.5.4.1.PROCESS - PROCESS phần mã VHDL Nó mô tả câu lệnh IF, WAIT, CASE, LOOP - cú pháp : 1.5.4.2.IF - IF, WAIT, CASE, LOOP câu lệnh mã Do đó, chúng sử dụng bên PROCESS, FUNCTION PROCEDURE - cú pháp : ĐỒ ÁN Trang 22/31 1.5.4.3.WAIT - Phép toán WAIT tương tự IF.Tuy nhiên nhiều định dạng dùng được.Hơn nữa, IF, CASE, LOOP sử dụng, PROCESS có danh sách nhạy WAIT sử dụng - cú pháp : - - Câu lệnh WAIT UNTIL nhận tín hiệu, thích hợp cho mã đồng mã không đồng Khi PROCESS danh sách nhạy trường hợp này, WAIT phải câu lệnh PROCESS PROCESS thực thời điểm gặp điều kiện WAIT ON, cách xử lí khác, nhận nhiều tín hiêu.PROCESS đặt giữ tín hiệu thay đổi rst clk xuất 1.5.4.4.CASE - CASE lệnh cho mã (đi kèm với IF, LOOP, WAIT) - Cú pháp : - So sánh giữ WHEN CASE ĐỒ ÁN Trang 23/31 5.4.6.LOOP - Cú pháp: ĐỒ ÁN Trang 24/31 ĐỒ ÁN Trang 25/31 CHƯƠNG : ỨNG DỤNG VÀO VIỆC THIẾT KẾ BỘ NHÂN BIT 2.1 SƠ ĐỒ BỘ NHÂN ĐỒ ÁN Trang 26/31 2.2 BỘ FA 2.2.1.Bảng thật a b 0 1 0 1 0 0 1 1 cin 1 1 cout 0 1 1 s 1 0 2.2.2.Bìa karnaught cin ab 00 01 10 11 0 0 1 Chân Cout : Cout = a.b+a.cin+b.cin ab cin 00 01 10 11 1 0 1 Chân S: S = a ⊕ b ⊕ cin ĐỒ ÁN Trang 27/31 2.3 KẾT QUẢ MÔ PHỎNG ĐỒ ÁN Trang 28/31 CHƯƠNG : KẾT LUẬN 3.1.KẾT LUẬN Từ đề tài này, nắm kiến thức VHDL, từ đó, xây dựng thiết kế chương trình nhân bits VHDL 3.2 HƯỚNG PHÁT TRIỂN Mô mạch cộng 32 bit phần mềm quartus,để từ ứng dụng nhiều thiết kế từ nhỏ tới thiết kế lớn sau này.Hiện nay,Việt Nam thiết kế thành công số chip : thiết kế vi mạch 32 bit, chip ADC đo lường 24-bit … ĐỒ ÁN Trang 29/31 TÀI LIỆU THAM KHẢO Tiếng Việt: [1] Kỹ thuật số 1, Nguyễn Như Anh, NXB Đại học Quốc gia TP.Hồ Chí Minh [2] http://www4.hcmut.edu.vn/~npduy/For%20Student_files/Tim%20hieu %20VHDL-Tieng-Viet.pdf [3] http://vntelecom.org Tiếng Anh : [1] Circuit design with VHDL by Volnei A Pedroni 11.55% ... cộng 32 bit phần mềm quartus,để từ ứng dụng nhiều thiết kế từ nhỏ tới thiết kế lớn sau này.Hiện nay,Việt Nam thiết kế thành công số chip : thiết kế vi mạch 32 bit, chip ADC đo lường 24 -bit … ĐỒ... 1Dx1D, 2D………………………………………………… 15 ĐỒ ÁN Trang 3/31 CHƯƠNG : TÌM HIỂU NGÔN NGỮ VHDL 1.1 GIỚI THIỆU VHDL VHDL ngôn ngữ mô tả phần cứng cho mạch tích hợp tốc độ cao, loại ngôn ngữ mô tả phần cứng phát... ĐỒ ÁN Trang 27/31 2.3 KẾT QUẢ MÔ PHỎNG ĐỒ ÁN Trang 28/31 CHƯƠNG : KẾT LUẬN 3.1.KẾT LUẬN Từ đề tài này, nắm kiến thức VHDL, từ đó, xây dựng thiết kế chương trình nhân bits VHDL 3.2 HƯỚNG PHÁT TRIỂN

Ngày đăng: 11/03/2017, 18:41

Xem thêm: THIẾT kế bộ NHÂN 5 BIT NGÔN NGỮ VHDL

TỪ KHÓA LIÊN QUAN

Mục lục

    CHƯƠNG 1 : TÌM HIỂU NGÔN NGỮ VHDL

    1.4. TOÁN TỬ VÀ THUỘC TÍNH

    1.5. THIẾT KẾ MẠCH SỐ CƠ BẢN

    CHƯƠNG 2 : ỨNG DỤNG VÀO VIỆC THIẾT KẾ BỘ NHÂN 5 BIT

    2.1. SƠ ĐỒ BỘ NHÂN

    2.3 KẾT QUẢ MÔ PHỎNG

    TÀI LIỆU THAM KHẢO

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w