BC mạch tạo chữ cái trên led metric

37 623 1
BC  mạch tạo chữ cái trên led metric

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Tạo chữ “A” ledMatrix Đề Mục Lục LỜI NÓI ĐẦU CHƯƠNG 1: MỞ ĐẦU I Đặt vấn đề: II Nội dung yêu cầu: III Đối tượng nghiên cứu: IV Lập kế hoạch nghiên cứu: CHƯƠNG 2: TÌM HIỂU CÁC PHẦN TỬ, THIẾT BỊ SỬ DỤNG TRONG MẠCH I Led matrix 1.1 Khái quát chung LED 1.2 Hoạt động đèn LED 1.3 Ưu nhược điểm đèn LED 1.4 Ứng dụng đèn LED II Phương pháp điều khiển đèn LED 2.1 Cơ sở lý thuyết 2.2 Phương pháp hiển thị led 11 III Vi điều khiển AT89C51 14 3.1 Sơ đồ khối chip AT89C51 14 3.2 Khảo sát sơ đồ chân AT89C51, chức chân: 15 IV IC đệm 74HC245 24 CHƯƠNG 3: 26 THIẾT KẾ VÀ MÔ PHỎNG 26 I Sơ đồ khối nguyên lý hoạt động 26 1.1 Sơ đồ khối 26 1.2 Ý nghĩa khối: 26 Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề -1.3 Nguyên lý hoạt động 27 1.4 Lưu đồ giải thuật chương trình hoạt động 28 II Thiết kế mô 30 2.1 Các bước thiết kế mạch 30 2.2 Mô 33 2.3 Mở rộng 33 III Kết Luận 34 Đánh giá thành viên nhóm: 34 Lời cảm ơn 36 Tài Liệu Tham Khảo 36 Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề Mục Lục Hình Hình 1: Sơ đồ thiết kế ma trận LED Hình 2: Sơ đồ cấu trúc bên ma trận led 8x8 10 Hình 3: Giao tiếp led ma trân dùng phương pháp chốt 11 Hình 4: Quy trình hiển thị chốt hàng 12 Hình 5: Quy trình hiển thị chốt cột 13 Hình 6: Sơ đồ khối AT89C51 14 Hình 7: Sơ đồ chân IC AT89C51 15 Hình 8: Tóm tắt vùng nhớ AT89C51 18 Hình 9: Sơ đồ chân IC 74245 24 Hình 10: Sơ đồ nguyên lý IC 74HC245 25 Hình 11: Sơ đồ khối hệ thống 26 Hình 12: Lưu đồ thuật toán 28 Hình 13: Sơ đồ thiết kế mạch dùng Proteus 30 Hình 14: Giao diện làm việc proteus 30 Hình 15: Bảng lấy linh kiện cần thiết mạch 31 Hình 16: Các linh kiện lấy 32 Hình 17: Sơ đồ mạch sau nối dây 32 Hình 18: Kết mô thực phần mềm Proteus 33 Hình 19: Kết mô tạo chữ số ‘5’ led matrix 34 Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề LỜI NÓI ĐẦU Với phát triển không ngừng khoa học công nghệ, sống người ngày trở nên tiện nghi đại Điều đem lại cho nhiều giải pháp tốt hơn, đa dạng việc xử lý vấn đề tưởng chừng phức tạp gặp phải sống Việc ứng dụng thành tựu khoa học kỹ thuật đại tất lĩnh vực phổ biến toàn giới, thay dần phương thức thủ công , lạc hậu ngày cải tiến đại hơn, hoàn mỹ Cùng với phát triển chung đó, nước ta mạnh mẽ tiến hành công công nghiệp hóa đại hóa đất nước để theo kịp phát triển nước khu vực giới Trong lĩnh vực điện tử ngày đóng vai trò quan trọng việc phát triển kinh tế đời sống người Sự phổ biến đóng góp không nhỏ tới phát triển tất ngành sản xuất, giải trí, năm gần đặc biệt lĩnh vực giải trí, quảng cáo có phát triển mạnh mẽ với nhiều hình thức, phương pháp tiếp cận, quảng bá chia sẻ thông tin đại toàn diện Với lòng đam mê, yêu thích lĩnh vực này, nhóm định chọn tập lớn “Tạo chữ ‘A’ Led Matrix sử dụng IC AT89C51 IC đếm chiều 74245.” làm tập lớn kết thúc học phần môn kỹ thuật mô phân tích tín hiệu máy tính Trong thời gian ngắn thực tập lớn cộng với kiến thức nhiều hạn chế, nên tập báo cáo không tránh khỏi thiếu sót, nhóm thực mong đóng góp ý kiến thầy cô bạn sinh viên Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề CHƯƠNG 1: MỞ ĐẦU I Đặt vấn đề: Thông tin liên lạc vấn đề quan tâm xã hội Ngay từ ngày xưa, người biết vận dụng có sẵn để truyền tin lửa, âm thanh, dấu hiệu… Ngày nay, với phát triển xã hội ngày có nhiều cách tiếp cận với thông tin Ta biết thông tin qua báo chí, truyền hình, mạng internet, qua pano, áp phích… Thông tin cần phải truyền nhanh chóng, kịp thời phổ biến rộng rãi toàn xã hội Và việc thu thập thông tin kịp thời, xác yếu tố quan trọng thành công lĩnh vực Các thiết bị tự động điều khiển từ xa qua thiết bị chủ điều khiển trực tiếp qua hệ thống máy tính Việc sử dụng vi điều khiển để điều khiển hiển thị có nhiều ưu điểm mà phương pháp truyền thống panô, áp phích việc điều chỉnh thông tin cách nhanh chóng cách thay đổi phần mềm Với lý trên, nhóm thực tập đưa cách thức phục vụ thông tin dùng hệ thống đèn led matrix Nội dung nghiên cứu tập tạo bảng led ứng dụng việc hiển thị truyền thông nơi công cộng công ty, nhà xưởng, ngã tư báo hiệu… Thế giới ngày phát triển lĩnh vực điều khiển cần phải mở rộng Việc ứng dụng mạng truyền thông công nghiệp vào sản xuất mang lại nhiều thuận lợi cho xã hội loài người, thông tin cập nhật nhanh chóng điều khiển cách xác II Nội dung yêu cầu: Với khoảng thời gian thực tập tuần, nhóm thảo luận chọn nội dung đề sau: - Nghiên cứu tìm phương án điều khiển xử lý liệu cho bảng led ma trận hiển thị 8x8 - Viết chương trình tạo hiệu ứng xử lý liệu - Mô phần mềm proeus hiển thị chữ “A” bảng led matrix 8x8 Mục đích yêu cầu: Trong trình nghiên cứu thực mô tập nhằm giúp người học: - Tăng khả tự nghiên cứu tự học - Hiểu rõ phần mềm mô Proteus - Bước đầu tiếp xúc với linh kiện vi điều khiển Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề - Vận dụng kiến thức có đồng thời tìm tòi kiến thức để hiểu sâu sắc lĩnh vực Để thiết kế hệ thống nêu nhóm nghiên cứu nắm vững kiến thức chuyên ngành điện tử, tìm hiểu, nghiên cứu qua sách vở, tài liệu trong, nước cách sử dụng, khai thác tốt phần mềm Proteus III Đối tượng nghiên cứu: - Các phương án điều khiển xử lý liệu cho bảng led ma trận - Tìm hiểu IC AT89C51, IC 74HC245 - Tìm hiểu phương pháp lập trình C - Bảng led ma trận kích thước 8×8 điểm ảnh IV Lập kế hoạch nghiên cứu:  Để thực tập nhóm sinh viên kết hợp sử dụng nhiều phương pháp phương tiện hỗ trợ gồm có:  Tham khảo tài liệu: kỹ thuật xung số, điện tử bản, vi điều khiển…  Quan sát, nhận xét tài liệu hướng dẫn  Tổng kết kinh nghiệm  Phương tiện: máy vi tính, Internet, thư viện…  Kế hoạch nghiên cứu:  Tuần 1: Nhận tập lập đề cương tổng quát  Thu thập tài liệu lập đề cương chi tiết  Tuần 2, 3: Thiết kế mô phần mềm viết báo cáo Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề CHƯƠNG 2: TÌM HIỂU CÁC PHẦN TỬ, THIẾT BỊ SỬ DỤNG TRONG MẠCH I Led matrix 1.1 Khái quát chung LED Ngày nay, bảng Led matrix ngày trở nên phổ biến đa dạng chủng loại công nghệ thay dần cho hình thức truyền thống pano, áp phích,….bởi tiện lợi linh hoạt mà đem lại Dưới đây, nhóm xin giới thiệu khái quát led LED (viết tắt Light Emitting Diode, có nghĩa điốt phát quang) điốt có khả phát ánh sáng hay tia hồng ngoại, tử ngoại Cũng giống điốt, LED cấu tạo từ khối bán dẫn loại p ghép với khối bán dẫn loại n 1.2 Hoạt động đèn LED Hoạt động đèn LED giống với nhiều loại điốt bán dẫn Khối bán dẫn loại p chứa nhiều lỗ trống tự mang điện tích dương nên ghép với khối bán dẫn n (chứa điện tử tự do) lỗ trống có xu hướng chuyển động khuếch tán sang khối n Cùng lúc khối p lại nhận thêm điện tử (điện tích âm) từ khối n chuyển sang Kết khối p tích điện âm (thiếu hụt lỗ trống dư thừa điện tử) khối n tích điện dương (thiếu hụt điện tử dư thừa lỗ trống) Ở biên giới hai bên mặt tiếp giáp, số điện tử bị lỗ trống thu hút chúng tiến lại gần nhau, chúng có xu hướng kết hợp với tạo thành nguyên tử trung hòa Quá trình giải phóng lượng dạng ánh sáng (hay xạ điện từ có bước sóng gần đó) Tùy theo mức lượng giải phóng cao hay thấp mà bước sóng ánh sáng phát khác (tức màu sắc LED khác nhau) Mức lượng (và màu sắc LED) hoàn toàn phụ thuộc vào cấu trúc lượng nguyên tử chất bán dẫn LED thường có điện phân cực thuận cao điốt thông thường, khoảng 1,5 đến 3V Nhưng điện phân cực nghịch LED không cao Do đó, LED dễ bị hư hỏng điện ngược gây Loại LED điện phân cực thuận: Đỏ: 1,4 - 1,8V Vàng: - 2,5V Xanh cây: 2- 2,8V Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề 1.3 Ưu nhược điểm đèn LED  Ưu điểm + Tuổi thọ cao: Hiện đèn LED cho ánh sáng trắng có tuổi thọ lên tới 50,000 sử dụng liên tục gấp 50 lần so với bóng đèn 60W thông thường Nếu sử dụng 10 ngày, bóng sử dụng 23năm + Lợi ích kinh tế: Đèn LED tiết kiệm 70- 80% lượng so với loại bóng đèn thông thường + Tốt cho thị lực người sử dụng: Ánh sáng đèn LED dịu mát, hiệu ứng chói mắt thấp hoàn toàn không nhấp nháy hư bóng huỳnh quang, vốn bị coi nguyên nhân gây bệnh đau nửa đầu động kinh + Ít ảnh hưởng tới môi trường: Do phần lớn lượng chuyển hóa thành quang năng, hiệu ứng nhiệt đèn LED thấp Nó hoàn toàn không tỏa nhiệt vào môi trường Ngoài bóng đèn LED không chứa thủy ngân gây hại cho môi trường thải bỏ + Thời gian khởi động nhanh: Không giống với bóng đèn huỳnh quang, đèn LED gần bật sáng cấp điện + Bền vững đặc biệt: Do làm từ chất liệu nhựa cao cấp nên đèn LED chịu đựng lực va đập mạnh, ảnh hưởng thời tiết mưa , nắng  Nhược điểm + Chi phí sản xuất cao, lắp đặt thi công phức tạp, tốn nhiều thời gian loại bóng thông thường khác + Toả nhiệt chân đèn, gây ảnh hưởng đến phận liền kề + Chưa tiện dụng: Đèn LED mặt hàng công nghệ cao phải mua từ đại lý hãng, điều khiển hãng chạy với đèn LED hãng mà 1.4 Ứng dụng đèn LED + Đèn chiếu sáng sử dụng LED phát ánh sáng trắng + LED dùng để làm phận hiển thị thiết bị điện, điện tử, đèn quảng cáo, trang trí, đèn giao thông + Có nghiên cứu loại LED có độ sáng tương đương với bóng đèn khí neon Đèn chiếu sáng LED cho có ưu điểm gọn nhẹ, bền, tiết kiệm lượng + Các LED phát tia hồng ngoại dùng thiết bị điều khiển từ xa cho đồ điện tử dân dụng + Ðèn LED sử dụng nhiều lĩnh vực đèn đọc, chiếu sáng bể bơi, cho chiếu sáng quảng cáo trời nơi khó thay lắp, có tuổi thọ cao nhiều lần so với bóng đèn neon, đồng thời có nhiều mầu sắc phong phú như: đỏ, xanh lá, xanh da trời, mầu hổ phách Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề Ở nước ta, đèn LED ứng dụng để tiết kiệm lượng, phục vụ cho quảng cáo, chiếu sáng đô thị Ðiển hình việc lắp đặt hệ thống đèn LED cầu sông Hàn Thuận Phước (TP Ðà Nẵng) II Phương pháp điều khiển đèn LED 2.1 Cơ sở lý thuyết Dựa nguyên tắc quét hình, ta thực việc hiển thị ma trận đèn cách quét theo hàng quét theo cột Mỗi LED ma trận LED coi điểm ảnh Địa điểm ảnh xác định đồng thời mạch giải mã hàng giải mã cột, điểm ảnh xác định trạng thái nhờ liệu đưa từ vi điều khiển AT 89C51 Như thời điểm có trạng thái điểm ảnh xác định Tuy nhiên xác định địa trạng thái điểm ảnh điểm ảnh lại chuyển trạng thái tắt (nếu LED sáng tắt dần) Vì để hiển thị toàn hình ảnh ma trận đèn, ta quét ma trận nhiều lần với tốc độ quét lớn, lớn nhiều lần thời gian kịp tắt đèn Mắt người nhận biết tối đa 24 hình/s tốc độ quét lớn không nhận thay đổi nhỏ đèn mà thấy toàn hình ảnh cần hiển thị Để thực quét hàng quét cột ma trận LED thiết kế sau: + Các LED hàng nối chân dương với + Các LED cột nối chân âm với hình vẽ Ta mô ma trận LED đơn giản 4x4 sau: Hình 1: Sơ đồ thiết kế ma trận LED Trạng thái LED định tín hiệu điện áp vào đồng thời chân Ví dụ để LED sáng điện áp 5v phải đưa vào chân dương Nhóm 13_B4-D1B Page Tạo chữ “A” ledMatrix Đề chân âm phải nối đất, LED tắt điện áp đưa vào chân dương Với đề này, chúng em làm modul nhỏ với kích thước 8x8 LED để hiển thị Ta có sơ đồ nguyên lý ma trận LED 8x8: Hình 2: Sơ đồ cấu trúc bên ma trận led 8x8 Nhóm 13_B4-D1B Page 10 Tạo chữ “A” ledMatrix Đề MOV DPTR, #1000H MOV @DPTR, A Lệnh dùng để nạp 55H vào ghi A Lệnh thứ hai dùng để nạp địa ô nhớ cần lưu giá trị 55H vào trỏ liệu DPTR Lệnh thứ ba di chuyển nội dung ghi A (là 55H) vào ô nhớ RAM bên có địa chứa DPTR (là 1000H)  Các ghi Port (Port Register): Các Port AT89C51 bao gồm Port0 địa 80H, Port1 địa 90H, Port2 địa A0H, Port3 địa B0H Tất Port truy xuất bit nên thuận tiện khả giao tiếp  Các ghi Timer (Timer Register): AT89C51 có chứa hai định thời/bộ đếm16 bit dùng cho việc định thời đếm kiện Timer0 địa 8AH (TL0: byte thấp) 8CH (TH0: byte cao) Timer1 địa 8BH (TL1: byte thấp) 8DH (TH1: byte cao) Việc khởi động timer SET Timer Mode (TMOD) địa 89H ghi điều khiển Timer (TCON) địa 88H Chỉ có TCON địa hóa bit  Các ghi Port nối tiếp (Serial Port Register): AT89C51 chứa Port nối tiếp cho việc trao đổi thông tin với thiết bị nối tiếp máy tính, modem giao tiếp nối tiếp với IC khác Một ghi đệm liệu nối tiếp (SBUF) địa 99H hai liệu truyền liệu nhập Khi truyền liệu ghi lên SBUF, nhận liệu đọc SBUF Các mode vận khác lập trình qua ghi điều khiển Port nối tiếp (SCON) địa hóa bit địa 98H  Các ghi ngắt (Interrupt Register): AT89C51 có cấu trúc nguồn ngắt, mức ưu tiên Các ngắt bị cấm sau bị reset hệ thống cho phép việt ghi ghi cho phép ngắt (IE) địa A8H Cả hai địa hóa bit  Thanh ghi điều khiển nguồn PCON (Power Control Register): Thanh ghi PCON bit định vị Nó địa 87H chứa nhiều bit điều khiển Thanh ghi PCON tóm tắt sau:  Bit (SMOD): Bit có tốc độ Baud mode 1, 2, Port nối tiếp set  Bit 6, 5, 4: Không có địa  Bit (GF1) : Bit cờ đa  Bit (GF0) : Bit cờ đa  Bit * (PD) : Set để khởi động mode Power Down thoát để reset  Bit (IDL): Set để khởi động mode Idle thoát ngắt mạch reset Nhóm 13_B4-D1B Page 23 Tạo chữ “A” ledMatrix Đề IV IC đệm 74HC245 Trong thiết kế VDK Đệm ngõ vào ngõ cho VDK điều cần thiết Đệm ngõ vào để lọc nhiễu chống suy giảm điện áp đường dây, mối hàn Một IC Đệm hay dùng IC 74245 Có nhiều loại IC 74245 Có thể HC HTC v.v Chức đệm liệu chiều , thường ứng dụng mạch sử dụng led quét led matrix , led , đệm liệu bus với mạch sử dụng nhiều linh kiện mắc song song Hình 9: Sơ đồ chân IC 74245 Đây ic số loại 20 chân Chức chân sau: Chân 1: DIR chân chọn hướng liệu: DIR=1 input A output B ngược lại với DIR=0; Chân 2=>chân : A0=>A7 data in/output phụ thuộc vào chân DIR Chân 10: GND Chân 11 =>18: B7=>B0 data in/output phụ thuộc vào chân DIR Chân 19 : OE chân cho phép tích cực mức Nếu 0E=0 ic xuất liệu ngược lại OE=1, cấm Chân 20: VCC Nhóm 13_B4-D1B Page 24 Tạo chữ “A” ledMatrix Đề Hình 10: Sơ đồ nguyên lý IC 74HC245 - IC74245 ic đệm chiều Ta hoàn toàn thiết lập để IC 74245 đệm theo chiều tùy ý Ví dụ muốn đệm từ A đến B bạn cần thiết lập OE mức DIR mức muốn đệm từ B đến A bạn cần thiết lập OE mức DIR mức bạn vô tình thiết lập OE mức chân cổng A B xác định trạng thái Hình cho thấy, ic logic 74HC245 có tầng khuếch đại song hướng IC làm việc với chân cuối hàng dưới, chân 10, cho nối masse chân cuối hàng trên, chân 20, cho nối nguồn 5V Các tầng khuếch đại với A0 - B0, A1- B1, A2 - B2, A3 - B3, A4 - B4, A5 - B5, A6 - B6, A7 - B7 Chân 19 /OE dùng kích mở hoạt động ic mức volt thấp, hay cho nối masse, chân treo mức áp cao, ngả xuất nhập cho trạng thái thứ ba, nghĩa trạng thái có trở kháng vô lớn, xem hở mạch Chân số chọn hướng cho tín hiệu Khi chân DIR mức áp cao, tín hiệu vào bên A bên B chân DIR mức áp thấp tín hiệu vào bên B bên A Tóm lại, ta dùng ic 74HC245 để khuếch đại tăng cường cho cảng ic lập trình AT89C51 Vậy, thấy, sơ đồ mạch điện trên, khóa điện S để hở chân DIR cho mức áp cao, lúc tín hiệu vào bên A bên B, hay nói dùng cho mode xuất bit cảng AT89C51 Nếu muốn nhập bit vào cảng ic AT89C51 phải đặt chân DIR xuống mức áp thấp Trong mạch người ta dùng điện trở để treo áp chân lên mức áp cao Nhóm 13_B4-D1B Page 25 Tạo chữ “A” ledMatrix Đề CHƯƠNG 3: THIẾT KẾ VÀ MÔ PHỎNG I Sơ đồ khối nguyên lý hoạt động 1.1 Sơ đồ khối Hình 11: Sơ đồ khối hệ thống 1.2 Ý nghĩa khối: Khối điều khiển: Khối điều khiển: Được thiết kế dùng IC AT89C51 có nhiệm vụ xử lý tất liệu chương trình Điều khiển liệu hiển thị Điều khiển xuất nhập liệu Điều khiển truy xuất liệu xử lý sau truyền đến khối: công suất, đệm để hiển thị Led ma trận Khối công xuất: Nâng công suất đủ lớn để cung cấp cho khối hiển thị Nếu ta dùng IC để thúc công suất ta có dòng ngõ cố định, muốn dùng tải công suất lớn ta khó sửa đổi lại mạch công suất Và điều theo tính toán, dòng điện hàng lên tới vài trăm mA, giá trị cao nhiều so với dòng ngõ cực đại IC Do cần phải thiết kế mạch để thúc công suất cho tải Còn nhiều cách khác để thúc tải dùng SCR, Triac, Opto, Relay Các cách thường dùng cho tải có công suất lớn trời Do tải bảng công suất không lớn nên ta cần dùng IC 74HC245 Nhóm 13_B4-D1B Page 26 Tạo chữ “A” ledMatrix Đề Khối hiển thị: Có nhiệm vụ dịch chuyển liệu cột, đệm tăng dòng đủ lớn để điều khiển hiển thị yêu cầu người sử dụng Khối hiển thị làm nhiệm vụ dịch liệu từ vi điều khiển, chốt liệu, đệm liệu đủ dòng hiển thị Led ma trận Khối nguồn: Trong mạch điện tử nguồn có vai trò quan trọng, định hoạt động hay ngưng hoạt động mạch Một nguồn không tốt làm cho mạch hoạt động ổn định dể làm hỏng linh kiện Vì nguồn ổn áp tốt cần thiết cho mạch điện tử ( mạch dùng IC số) 1.3 Nguyên lý hoạt động Khi khởi động nguồn, Khối điều khiển cập nhật cấu hình khối hiển thị có liệu hiển thị trạng thái điều khiển, trạng thái phần lưu liệu, cấu hình chạy chữ Do khởi động mặc định chữ chạy trước nên sau cập nhật cấu hình xong, chương trình dựa vào cấu hình chạy chữ mà thực Nếu kiện phát sinh chữ chạy vòng lặp hiển thị vô tận Việc hiển thị bảng led động Tức thời điểm có hàng sáng Nhưng quét nhanh tần số 50Hz trở lên mắt thường coi sáng liên tục toàn bảng Kỹ thuật quét led : Là cấp tín hiệu điều khiển theo dạng xung theo kiểu quét cho hàng cần hiển thị tần số quét nhỏ cho chu kỳ cần khoảng 25Hz (40ms) nhằm bảo đảm cho mắt thấy led sáng không nhấp nháy Dữ liệu phông chữ, hình đổ qua cột Khi quét hết cột hình Khi chạy chữ cần hiển thị hình khác dịch cột (hoặc hàng) Nhóm 13_B4-D1B Page 27 Tạo chữ “A” ledMatrix Đề 1.4 Lưu đồ giải thuật chương trình hoạt động a Lưu đồ giải thuật Hình 12: Lưu đồ thuật toán b Chương trình hoạt động #include void delay(int t) // Ham tao tre t(ms) { int a,b; for(a=0;a[...]... cứu và có thế cho mạnh hiển thị được các chữ cái khác trong bản chữ cái là: “ A B C D E F G H J K L M N O P Q R S T U V Ư X Y Z” và các số tự nhiên: “0 1 2 3 4 5 6 7 8 9” Dưới đây là kết quả mô phỏng tạo chữ số ‘5’ trên led matrix bằng phần mềm Proteus: Nhóm 13_B4-D1B Page 33 Tạo chữ cái “A” trên ledMatrix Đề 4 Hình 19: Kết quả mô phỏng tạo chữ số ‘5’ trên led matrix III Kết Luận Sau 3 tuần nghiên.. .Tạo chữ cái “A” trên ledMatrix Đề 4 2.2 Phương pháp hiển thị led Hiển thị led ma trận bằng phương pháp chốt giúp cho người lập trình thay đổi cách thức quét và hiển thị một cách linh hoạt và nhanh chóng Hình 3: Giao tiếp led ma trân dùng phương pháp chốt Nhóm 13_B4-D1B Page 11 Tạo chữ cái “A” trên ledMatrix Đề 4 Chốt hàng: Chốt hàng là phương pháp... thành viên như sau: Nhóm 13_B4-D1B Page 35 Tạo chữ cái “A” trên ledMatrix Đề 4 Lời cảm ơn Bài tập lớn: Tạo chữ cái “A” trên Led Matrix sử dụng IC AT89C51 và IC đếm 2 chiều 74245” Bài tập đã nêu lên được nguyên tắc hoạt động cơ bản của một một mạch hiển thị dùng led Matrix, giới thiệu về cách sử dụng cũng như sơ đồ chân, sơ đồ nội bộ của các IC số dùng trong mạch Nhóm thực hiện bài tập lớn xin chân thành... cách quét led ma trận  Thiết kế và thi công mô hình một bảng led hiển thị được chữ “A” bằng phần mềm proteus  Nghiên cứu ngôn ngữ lập trình C o Các vấn đề đã thực hiện:  Lập trình điều khiển IC AT89C51 bằng ngôn ngữ C  Mô phỏng được yêu cầu của đề bài trên phần mềm proteus  Ngoài việt thực hiện hiển thị chữ cái “A” thì nhóm có thể cho hiển thị tất cả các chữ cái khác trong bảng chữ cái và các... Xoa led } } } } } } Nhóm 13_B4-D1B Page 29 Tạo chữ cái “A” trên ledMatrix Đề 4 II Thiết kế và mô phỏng Hình 13: Sơ đồ thiết kế mạch dùng Proteus 2.1 Các bước thiết kế mạch Bước 1: khởi động chương trình proteus và lấy các linh kiện Khởi động phần mềm proteus trên máy tính ta sẽ có giao diện như hình dưới: Hình 14: Giao diện làm việc của proteus Bước 2: Lấy các linh kiện và phần tử sử dụng trong mạch. .. dụng trong mạch Nhóm 13_B4-D1B Page 30 Tạo chữ cái “A” trên ledMatrix Đề 4 Sau khi khởi động được phần mềm ta thực hiện lấy các linh kiện là phần tử cần thiết trong mạch bằng cách chỉ con trỏ chuột vào biểu tượng thanh công cụ, tiếp đến là biểu tượng kiện sau: trên Ta sẽ có được bảng để lấy các linh Hình 15: Bảng lấy các linh kiện cần thiết trong mạch Ở bảng trên ta thực hiện nhập tên linh kiện vào... được trong mạch Các linh kiện cầu được liệt kê ở bảng sau: SST 1 2 3 4 5 6 7 8 Tên linh kiện IC AT9C51 IC 74HC245 Điện trở Tụ điện Thạch anh Nguồn VCC GND Nhóm 13_B4-D1B Số lượng 1 1 1 1 1 2 3 Ghi chú Page 31 Tạo chữ cái “A” trên ledMatrix Đề 4 Sau khi thực hiện ta sẽ có được các linh kiện như sau: Hình 16: Các linh kiện đã được lấy ra Bước 3: Nối dây cho cái linh kiện và phần tử trong mạch Có hai... quét led : Là cấp tín hiệu điều khiển theo dạng xung theo kiểu quét cho các hàng cần hiển thị tần số quét nhỏ nhất cho mỗi chu kỳ cần khoảng 25Hz (40ms) nhằm bảo đảm cho mắt thấy các led sáng đều không nhấp nháy Dữ liệu phông chữ, hình được đổ qua cột Khi quét hết 8 cột thì được một hình Khi chạy chữ chỉ cần hiển thị một hình khác đã được dịch đi một cột (hoặc hàng) Nhóm 13_B4-D1B Page 27 Tạo chữ cái. .. chốt hàng được thể hiện ở lưu đồ như sau: Hình 4: Quy trình hiển thị chốt hàng Nhóm 13_B4-D1B Page 12 Tạo chữ cái “A” trên ledMatrix Đề 4 Chốt cột: là phương pháp trong một khoảng thời gian xác định chỉ có một hàng được tích cực, dữ liệu được đưa ra 8 cột rồi chốt lại, dữ liệu được hiển thị trên màn hình led ma trận Sau đó dữ liệu được đưa ra 8 cột kế tiếp và được chốt lại bởi một IC chốt khác, trong khi... khó khăn  Khó khăn trong việc lập trình xuất dữ liệu ra Nhóm 13_B4-D1B Page 13 Tạo chữ cái “A” trên ledMatrix Đề 4 III Vi điều khiển AT89C51 AT89C51 là một vi điều khiển 8 Bit, chế tạo theo công nghệ CMOS chất lượng cao, công suất thấp với 4 KB PEROM (Flash Programmable and erasable read only memory) Thiết bị này được chế tạo bằng cách sử dụng bộ nhớ không bốc hơi mật độ cao của ATMEL và tương thích

Ngày đăng: 20/06/2016, 10:42

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan