Thiết kế ALU 8bit

60 1.9K 8
Thiết kế ALU 8bit

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thiết kế ALU 8bit

ĐẠI HỌC THÁI NGUYÊN TRƯỜNG ĐẠI HỌC KỸ THUẬT CÔNG NGHIỆP oOo TÀI LIỆU THÍ NGHIỆM HỌC PHẦN: THÍ NGHIỆM CHUYÊN NGÀNH ĐIỆN - ĐIỆN TỬ - SPKT BỘ MÔN: KỸ THUẬT MÁY TÍNH THÁI NGUYÊN, 2011 Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Biên soạn: Th.S Nguyễn Tuấn Anh Th.S Tăng Cẩm Nhung Th.S Nguyễn Tuấn Linh TÀI LIỆU THÍ NGHIỆM HỌC PHẦN: THÍ NGHIỆM CHUYÊN NGÀNH ĐIỆN - ĐIỆN TỬ - SPKT MÃ SỐ HỌC PHẦN: SỐ TÍN CHỈ: Trưởng môn Kỹ thuật máy tính Trưởng khoa Điện tử Th.S Nguyễn Tuấn Linh Nguyễn Duy Cương Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử MỤC LỤC BÀI: Thiết kế ALU 8bit Phần I: THÍ NGHIỆM 1.1 Mục đích thí nghiệm 1.2 Cơ sở lý thuyết 1.2.1 Kiến thức 1.2.2 Thiết kế ALU 1.3 Thí nghiệm 1.3.1 Nội quy an toàn thí nghiệm 1.3.2 Nội dung thí nghiệm 1.3.3 Phương pháp cách thức thí nghiệm 1.3.3.1 Thiết bị dụng cụ thí nghiệm 1.3.3.2 Phân nhóm thí nghiệm 1.3.3.3 Cách thức tiến hành thí nghiệm 1.4 Thí nghiệm 24 Phần II: VIẾT BÁO CÁO THÍ NGHIỆM 25 2.1 Qui định chung 25 2.2 Nội dung báo cáo 25 2.2.1 Cơ sở lý thuyết 25 2.2.2 Báo cáo kết thí nghiệm 25 Phần III: ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM 26 BÀI: THIẾT KẾ MẠCH ĐẾM TRÊN NỀN FPGA 27 Phần I THÍ NGHIỆM 28 1.1 Mục đích thí nghiệm: 28 1.2 Cơ sở lý thuyết: 28 1.3 Thí nghiệm: 29 1.3.1 Nội quy an toàn thí nghiệm: 29 1.3.2 Nội dung thí nghiệm: 29 1.3.3 Phương pháp cách thức thí nghiệm: 29 Giới thiệu thiết bị dụng cụ thí nghiệm 29 Phân nhóm thí nghiệm 30 Sơ đồ hay cách thức tiến hành TN 31 Trình tự tiến hành thí nghiệm 31 1.3.3 Ghi chép số liệu, kết thí nghiệm 35 1.4 Chuẩn bị sinh viên: 36 Phần II VIẾT BÁO CÁO THÍ NGHIỆM 37 Phần III ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM 37 BÀI LẬP TRÌNH HỆ THỐNG NHÚNG GIAO TIẾP THIẾT BỊ NGOẠI VI CƠ BẢN 38 Phần I THÍ NGHIỆM 39 1.1 Mục đích thí nghiệm: 39 1.2 Cơ sở lý thuyết: 39 1.3 Thí nghiệm: 40 1.3.1 Nội quy an toàn thí nghiệm: 40 1.3.3 Phương pháp cách thức thí nghiệm: 40 1.4 Ghi chép số liệu, kết thí nghiệm 45 1.5 Chuẩn bị sinh viên: 45 Phần II VIẾT BÁO CÁO THÍ NGHIỆM 46 Phần III ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM 46 Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử BÀI – THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA 47 Phần I THÍ NGHIỆM 48 1.1 Mục đích thí nghiệm: 48 1.2 Cơ sở lý thuyết: 48 1.3 Thí nghiệm: 48 1.3.1 Nội quy an toàn thí nghiệm: 48 1.3.2 Nội dung thí nghiệm: 48 1.3.3 Phương pháp cách thức thí nghiệm: 49 I Giới thiệu thiết bị dụng cụ thí nghiệm 49 II Phân nhóm thí nghiệm 49 III Sơ đồ cách thức tiến hành TN 50 IV Trình tự tiến hành thí nghiệm 50 V Viết mã nguồn: 56 VI Ghi chép số liệu, kết thí nghiệm 57 1.4 Chuẩn bị sinh viên: 58 Phần II VIẾT BÁO CÁO THÍ NGHIỆM 59 Phần III ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM 59 Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Biên soạn: Th.S Tăng Cẩm Nhung QUY TRÌNH THỰC HIỆN BÀI THÍ NGHIỆM MÔN GIỚI THIỆU VLSI BÀI: Thiết kế ALU 8bit CHƯƠNG TRÌNH ĐÀO TẠO ĐẠI HỌC THEO HỆ THỐNG TÍN CHỈ (HỆ 150 TC) Bài thí nghiệm “Thiết kế ALU 8bit” Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Phần I: THÍ NGHIỆM 1.1 Mục đích thí nghiệm Bộ xử lý toán học logic (ALU - Arithmetic and Logic Unit) mạch tổ hợp để xử lý tác vụ logic toán học dựa hai toán hạng đầu vào Các tác vụ cho ALU thực điều khiển đầu vào nhập select) Mục đích thí nghiệm thiết kế ALU với yêu cầu sau: • Độ lớn toán hạng đầu vào 8bit • Các đầu vào nhập (select) gồm có: M, S0, S1, Carry • Với yêu cầu thiết kế, mạch gồm chức thực hiện: cộng trừ, NAND, OR, XOR theo bảng đây: M S1 S0 Chức Tác vụ 0 Ai*Bi AND 0 Ai+Bi OR Ai(+)Bi XOR 1 ~( Ai(+)Bi) XNOR 0 A+C0 A+Carry 1 A+B+C0 A+B+Carry 1 A+B’+C0 A+Bù B+Carry 1 A’+B+C0 Bù A +B+Carry Sơ đồ khối ALU xây dựng sau: Bài thí nghiệm “Thiết kế ALU 8bit” Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử 1.2 Cơ sở lý thuyết 1.2.1 Ki n th c n n Khi tính toán với số học, vấn đề gặp phải biểu diễn số âm Trong hệ số , cách thức biểu diễn số âm phổ biến biểu diễn dạng số bù Ưu điểm cách thức biểu diễn thực phép toán cộng/trừ dễ dàng Ngoài ra, cách thức chuyển đổi nhanh hơn, số có dạng biểu diễn Số bù định nghĩa sau: Two's complement(N) = 2n - N Biểu thức biểu diễn lại sau 2n - N = (2n -1 - N) + Cách thức chuyển đổi số sang dạng bù sau: • Chuyển số cần tìm sang dạng nhị phân • Đảo bit số nhị phân, số bù • Cộng vào số bù 1, ta số bù Ví dụ: biểu diễn số -5 • Chuyển sang số nhị phân tương ứng: 0101b • Đảo bit: 1010b • Cộng 1: 1011b, bù -5 0101b 1010 ( bù ) + 1011 ( bù ) Vấn đề gặp phải dùng 4-bit để biểu diễn, dạng bù nên phạm vi giới hạn từ -8 đến +7 Kết thực +9 -9 vượt phạm vi nên kết nhận sai Ta nhận thấy phát việc tràn số nhờ trạng thái bit có số cao (MSB) cờ Carry, bit thay đổi nghĩa có tràn số Khi thiết kế ALU, ta giả sử số đầu vào nhập dạng bù 1.2.2 Thi t k ALU Để thiết kế ALU dựa nguyên tắc mudule hoá thiết kế, tức chia thành phần nhỏ hơn, dễ quản lý tái sử dụng Cách tiếp giúp cho việc có tính hệ thống hơn, đồng thời dễ dàng việc phát triển thành hệ thống lớn, phức tạp Bài thí nghiệm “Thiết kế ALU 8bit” Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Xét cấu trúc, ALU n-bit xây dựng từ n ALU 1-bit Như vậy, để thiết kế ALU 8-bit, ta cần thiết kế ALU 1-bit Sau đó, ghép nối ALU 1-bit lại với để tạo thành ALU 8-bit Mỗi ALU 1-bit gọi bit-slice Cách có tính sử dụng lại cao dùng nhiều kỹ thuật thiết kế phần cứng, chẳng hạn thiết kế nhớ Để thiết kế bit-slice, có nhiều cách khác Một cách làm viết bảng chân lý để thiết kế Bảng nàyy có đầu vào nhập (M, S1, S0, C0, Ai, Bi) hai đầu Ri Ci+1 Cách viết tay công phu, hiệu Trong VHDL, thực thiết kế mô hình cấu trúc 1.3 Thí nghiệm 1.3.1 N i quy an toàn thí nghi m - Người thực thí nghiệm kể Hướng dẫn viên sinh viên đề phải nắm vững nội quy an toàn phòng thí nghiệm quy định, thông qua việc học nội quy có kiểm tra sát hạch - Các thiết bị thí nghiệm chịu kiểm soát an toàn theo phân cấp nhà nước phải đảm bảo có đầy đủ biên kiểm định an toàn cấp có thẩm quyền Ví dụ: Thiết bị điện cao (trên kV), thiết bị áp lực, chất hóa học đặc biệt 1.3.2 N i dung thí nghi m Theo đề cương duyệt 1.3.3 Ph ng pháp cách th c thí nghi m 1.3.3.1 Thi t b d ng c thí nghi m Máy tính PC cài đặt phần mềm Quatus II cung cấp Altera 1.3.3.2 Phân nhóm thí nghi m SV/1 nhóm, làm việc máy tính 24 SV/1ca thí nghiệm 1.3.3.3 Cách th c ti n hành thí nghi m Bài 1: Tạo Project Quartus II - Tạo thư mục để chứa project - Thiết kế project - Mô thiết kế Bài 2: Thiết kế cộng Bài Thiết kế dồn kênh Bài 4: Thiết kế ALU Bài thí nghiệm “Thiết kế ALU 8bit” Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Bài 1: Tạo Project Quartus II 1- Tạo project : Sau cài đặt xong phần mềm QuartusII, bắt đầu chạy chương trình cách double-click vào biểu tượng desktop Giao diện QuartusII xuất : Đầu tiên, cần tạo project : File/ New Project Wizard Ở cửa sổ điền vào thông tin thư mục chứa project, tên project tên top-module (tên top- module thường trùng tên project) Click Next lần Cửa sổ Family & Device Settings dùng để chọn họ tên linh kiện FPGA để cấu hình Chọn họ linh kiện CycloneII, tên EP2C70F896C6 (board DE2-70) Chọn Finish Bài thí nghiệm “Thiết kế ALU 8bit” Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử 10 Vào File/ New / Block Diagram/Schematic File 5.Click chuột phải vào thiết kế, chọn Insert/ Symbol Chọn cổng AND cách gõ vào “and2” Bấm OK Gắn vào thiết kế Bài thí nghiệm “Thiết kế ALU 8bit” Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử 46 Phần II VIẾT BÁO CÁO THÍ NGHIỆM 2.1 Quy định chung: Báo cáo thí nghiệm viết mặt khổ giấy A4, đóng quyển, bìa mềm (theo mẫu ) Mỗi sinh viên có báo cáo riêng 2.2 Nội dung báo cáo: 2.2.1 Cơ sở lý thuyết Phân tích sở lý thuyết mục 1.2 2.2.2 Báo cáo kết thí nghiệm - Mỗi sinh viên (nhóm sinh viên) thiết kế 01 mạch (sơ đồ nguyên lý, phần lập trình nhúng) ngẫu nhiên chuẩn bị trước mục 1.4, phần mềm Proteus PICC Compile - Tổng hợp kết cho nội dung báo cáo - Phân tích sơ đồ nguyên lý, mã lệnh - Lập bảng trạng thái đầu vào, đầu (nếu có) - Nhận xét kết quả: + Các kết thu từ thí nghiệm + So sánh kết thí nghiệm với lý thuyết + Mức độ kết đạt so với yêu cầu đề + Đánh giá sai số dụng cụ, thiết bị thí nghiệm, người thao tác - Kiến nghị Phần III ĐÁNH GIÁ CHẤM ĐIỂM, BẢO VỆ THÍ NGHIỆM Bộ môn hay tập thể hướng dẫn thí nghiệm tổ chức đánh giá điểm thí nghiệm thông qua lựa chọn hình thức sau: - Chấm điểm dựa nội dung báo cáo thí nghiệm sinh viên - Chấm điểm theo hình thức bảo vệ vấn đáp Điểm thí nghiệm sinh viên tổng hợp theo lớp có chữ ký xác nhận Trưởng môn chuyên môn LẬP TRÌNH HỆ THỐNG NHÚNG GIAO TIẾP THIẾT BỊ NGOẠI VI CƠ BẢN Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Biên soạn: Th.S Nguyễn Tuấn Anh QUY TRÌNH THỰC HIỆN BÀI THÍ NGHIỆM MÔN HỆ THỐNG NHÚNG BÀI – THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA CHƯƠNG TRÌNH ĐÀO TẠO ĐẠI HỌC THEO HỆ THỐNG TÍN CHỈ (HỆ 150 TC) THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA 47 Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử 48 QUY TRÌNH THỰC HIỆN BÀI THÍ NGHIỆM MÔN HỆ THỐNG NHÚNG CHƯƠNG TRÌNH ĐÀO TẠO ĐẠI HỌC THEO HỆ THỐNG TÍN CHỈ (HỆ 150 TC) Phần I THÍ NGHIỆM 1.1 M c đích thí nghi m: - Giúp sinh viên củng cố kiến thức thiết kế lập trình cho vi mạch FPGA đồng thời tiếp cận với môi trường thiết kế tích hợp chuyên nghiệp phần mềm Altium Designer - Giúp sinh viên nắm cách thiết kế hệ thống vi xử lý nhúng FPGA Giúp Sinh viên chuyển đổi tư từ kiến thức lý thuyết đến tiếp cận với kiến thức thực tế - Giúp cho SV hiểu rõ thí nghiệm phận công việc người làm công tác khoa học kỹ thuật 1.2 C s lý thuy t: FPGA hệ thống mở, cho phép ta thiết kế phần cứng đơn phần cứng+phần mềm nhúng Trong này, thiết kế phần cứng + phần mềm nhúng, tạo thành hệ thống bao gồm vi xử lý nhúng, nhớ, hiển thị, đầu vào, đầu ra, Để thực tốt thí nghiệm, cần chuẩn bị trước kiến thức sau đây: Cấu trúc máy tính nhúng, hệ thống có cấu trúc tương tự cấu trúc máy tính PC Phần cứng nhúng gồm: Chip vi xử lý, nhớ trong, ngõ vào, ngõ ra, hệ thống BUS, Ngôn ngữ lập trình bậc cao (ngôn ngữ ANSI C) Các kiến thức tập lệnh, cấu trúc rẽ nhánh, vòng lặp, khai báo chương trình con, biến, hằng, mảng, 1.3 Thí nghi m: 1.3.1 Nội quy an toàn thí nghiệm: - Người thực thí nghiệm kể Hướng dẫn viên sinh viên đề phải nắm vững nội quy an toàn phòng thí nghiệm quy định, thông qua việc học nội quy có kiểm tra sát hạch - Các thiết bị thí nghiệm chịu kiểm soát an toàn theo phân cấp nhà nước phải đảm bảo có đầy đủ biên kiểm định an toàn cấp có thẩm quyền Ví dụ: Thiết bị điện cao (trên kV), thiết bị áp lực, chất hóa học đặc biệt 1.3.2 Nội dung thí nghiệm: - Làm quen phần mềm Altium Designer THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử - Tạo project Altium Designer - Thiết kế phần cứng hệ vi xử lý nhúng Lập trình phần mềm nhúng - Cấu hình chân tín hiệu nạp vào Nanoboard Kiểm tra hoạt động đánh giá kết 49 1.3.3 Phương pháp cách thức thí nghiệm: I Giới thiệu thiết bị dụng cụ thí nghiệm Altium Innovation Station công cụ thí nghiệm bao gồm phần mềm Altium Designer modul phần cứng tái cấu hình NanoBoard Bộ công cụ cung cấp đầy đủ công cụ cần thiết để thiết kế, triển khai kiểm thử cho dự án thiết kế vi mạch FPGA mô hình thí nghiệm Phần mềm thiết kế mạch tự động Altium Designer môi trường thiết kế điện tử đồng nhất, tích hợp thiết kế nguyên lý, thiết kế mạch in PCB, thiết kế vi điều khiển FPGA Desktop Nano Board NB2DSK01 Altium tảng phần cứng tái cấu hình nhằm khai thác triệt để công suất linh kiện khả trình công suất thấp, mật độ cao Điều cho phép rút ngắn cách đáng kể thời gian thiết kế Desktop Nano Board NB2 thừa hưởng khái niệm “mạch điện tử dùng để thử nghiệm cấp độ nano” NB1 đưa lên tầm cao mới, nâng cao khả thiết kế gỡ lỗi (debug) toàn thiết kế trước đưa sản xuất PCB Các board kèm theo hỗ trợ nhiều đường I/O kết nối từ FPGA đến thiết bị ngoại vi II Phân nhóm thí nghiệm THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử 50 III Sơ đồ cách thức tiến hành TN Thiết kế hệ vi xử lý nhúng Altium Designer NanoBoard theo sơ đồ sau: U_Chip_nhung_OB Chip_nhung_OB.OpenBus U1 TEST_BUTTON CLK_BRD LED_PA[7 0] LEDS[7 0] RST_I INV WB_MEM_CTRL_SRAM16_A18 CLK_I TA_RAM Hình Phần cứng hệ VXL nhúng K6R4016V1D-TC10 SRAM1_LB SRAM1_UB SRAM1_OE SRAM1_W SRAM1_E SRAM1_A[17 0] SRAM1_D[15 0] SAMSUNG LB UB OE WE CE A[17 0] D[15 0] TSK3000A_1 IO WB_INTERCON_1 MEM SR LED TA_RAM Hình Cấu trúc máy tính nhúng nhúng: “Chip nhung“ IV Trình tự tiến hành thí nghiệm • Cách 1: Tạo dự án FPGA cách vào File » New » Project » FPGA Project Right-click vào tên dự án tạo (FPGA_Project1.PrjFpg) panel • Projects chọn Save Project Lưu dự án vào ổ với tên tuỳ chọn Cách 2: Tạo Project, tạo file Constraint: THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Hình Tạo Project Hình Đặt tên cho Project Lưu ý: đặt tên project không chứa dấu cách, không chứa ký tự đặc biệt THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA 51 Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử 52 Hình 10 Tạo file Constraint • Thêm sơ đồ nguyên lý vào dự án cách chọn Add New to Project » Schematic panel Projects o • thư mục dự án tạo Thêm file OpenBus vào dự án cách chọn Add New to Project » OpenBus System Document panel Projects o • Lưu sơ đồ nguyên lý với tên file Chip_nhung_Schematic.SchDoc Lưu sơ đồ nguyên lý với tên file Chip_nhung_OB.OpenBus thư mục dự án tạo Chọn Save Project Hình 11 Hoàn thiện file tạo phần cứng nhúng THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA • Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Lựa chọn linh kiện từ panel Libraries đưa vào sơ đồ theo bảng sau: Ký hiệu 53 Tên linh kiện (Thư viện) Giải thích Số lượng TSK3000A OB Chip nhúng WB_INTERCON Kết nối BUS nội OB VXL nhúng TSK3000A_1 IO MEM WB_INTERCON_1 PortIO OB LED U1 INV CLK_BRD K6R4016V1D-TC10 SAMSUNG SRAM1_LB SRAM1_UB SRAM1_OE SRAM1_W SRAM1_E SRAM1_A[17 0] SRAM1_D[15 0] dụng cổng nối tới LED) INV (FPGA Generic.IntLib) Cổng đảo SRAM_DAUGHTER0 (FPGA DB Common Port-Plugin.IntLib) SRAM CLOCK_Board (FPGA NB2DSK01 PortPlugin.IntLib) LED (FPGA NB2DSK01 PortPlugin.IntLib) LEDS[7 0] Cổng vào/ra (ở sử Xung clock hệ thống (đặt NB2 chạy 75MHz xung 75MHz Dùng LED để hiển thị kết đếm vòng xoắn Phím Test/Reset Daughter board, ta dùng (FPGA NB2DSK01 Portphím để reset trạng TEST_BUTTON TEST_BUTTON Plugin.IntLib) thái đếm THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA • Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Trong OB, thiết kế vi xử lý nhúng sau: 54 TSK3000A_1 IO MEM WB_INTERCON_1 SR LED TA_RAM Hình 12 Sơ đồ hệ nhúng Mở cửa sổ lấy linh kiện OB đây: Lấy linh kiện đây: Chú ý: Sau ghép nối song, ta cần phải cấu hình SRAM là: - 512KB (128Kx32-bit) - 1x16-bit wide Device - Cấu hình PortIO Out, 8bit Hình 13 Lấy linh kiện OpenBus Cấu hình Chip nhúng sau: THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử • 55 Sắp xếp linh kiện vào sơ đồ Kết nối linh kiện với sử dụng chức Place » Wire and Place » Bus sử dụng công cụ Wiring, sử dụng nút tương ứng Như hình sau: U_Chip_nhung_OB Chip_nhung_OB.OpenBus U1 TEST_BUTTON CLK_BRD LED_PA[7 0] LEDS[7 0] RST_I INV WB_MEM_CTRL_SRAM16_A18 CLK_I TA_RAM K6R4016V1D-TC10 SRAM1_LB SRAM1_UB SRAM1_OE SRAM1_W SRAM1_E SRAM1_A[17 0] SRAM1_D[15 0] SAMSUNG LB UB OE WE CE A[17 0] D[15 0] Hình 14 Sơ đồ thiết bị ngoại vi Trong U_Chip_nhung_OB khối Chúng ta dùng khối, tạo OpenBus sau Cách tạo sau: THIẾT KẾ HỆ THỐNG NHÚNG TRÊN NỀN FPGA 56 Bộ môn Kỹ thuật Máy tính, Khoa Điện Tử Nhúng chíp vào sơ đồ, cách D-Click vào U_Chip_nhung_OB, chọn file OB vừa thiết kế: • • Hình 15 Nhúng chip vào board thiết bị ngoại vi Lưu dự án: (File » Save All) Tạo Dự án nhúng: File » Project » Embeded Project: Lưu thành: Embedded_Project_Chip_nhung.PrjEmb o Tạo file Main.C V Viết mã nguồn: #include "hardware.h" #define LEDS (*(unsigned char*)Base_LED) unsigned int k,n; unsigned char T,P; void delay(unsigned int T){ unsigned int T1,T2; while( T ){T1=100; while(T1 ){T2=700; while(T2 ); }}} void main(){ LEDS=0x00; while(1){ // Nhap nhay: for(n=0;n[...]... nhau Bi thớ nghim Thit k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 7 Kt qu dng súng thu c : Bi thớ nghim Thit k ALU 8bit 20 B mụn K thut Mỏy tớnh, Khoa in T 21 Bi 5: Thit k ALU u tiờn, ta s thit k mt b ALU gm 2 chc nng : AND v cng 1 To mt project mi cú tờn : alu8 bit 2 To b ALU 1 bit nh hỡnh di (gm 1 cng AND, 1 b cng FA, 1 b dn kờnh 2-1 1 bit) Lu li vi tờn file : alu1 bit.bdf alu1 bit A Result B Cout Cin... 3 Thc hin thit k b ALU 8 bit bng cỏch ghộp 8 b ALU 1 bit li vi nhau Bi thớ nghim Thit k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 22 GND INPUT VCC A[7 0] alu1 bit A[0] INPUT VCC S INPUT VCC B[0] B[7 0] B[7 0] inst alu1 bit A[1] Result[1] A Result B Cout Cin S B[1] inst1 alu1 bit A[2] Result[2] A Result B Cout Cin S B[2] inst2 alu1 bit A[3] Result[3] A Result B Cout Cin S B[3] inst3 alu1 bit A[4] Result[4]... B[4] inst4 alu1 bit A[5] Result[5] A Result B Cout Cin S B[5] inst5 alu1 bit A[6] Result[6] A Result B Cout Cin S B[6] inst6 alu1 bit A[7] B[7] Result[7] A Result B Cout Cin S inst13 Bi thớ nghim Thit k ALU 8bit OUTPUT Result[7 0] OUTPUT pin_name Result[0] A Result B Cout Cin S Result[7 0] A[7 0] B mụn K thut Mỏy tớnh, Khoa in T Lu li vi tờn : alu8 bit.bdf 4 Kt qu mụ phng : Bi thớ nghim Thit k ALU 8bit 23... phi vo A, B hoc S Chn Properties Trong Radix chn Unsigned Decimal (thp phõn khụng du) Bi thớ nghim Thit k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 17 V dng súng cho A v B bng cụng c thit lp giỏ tr tựy ý 18 Vo Processing /Start Simulation mụ phng 19 Kt qu dng súng thu c Bi thớ nghim Thit k ALU 8bit 18 B mụn K thut Mỏy tớnh, Khoa in T 19 Bi 3: Thit k b dn kờnh 1 Chy chng trỡnh bng cỏch double-click... successful Bm OK Mụ phng thit k Bi thớ nghim Thit k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 12 11 Vo File/ New / Vector Waveform File 12 Click chut phi vo ca s Name Chn Insert/ Insert Node or Bus 13 Chn Node Finder Ca s Node Finder chn Pins: all v bm List Chn tt c cỏc chõn Bm OK 2 ln 14 V dng súng cho cỏc ng input bng hp cụng c bờn trỏi Bi thớ nghim Thit k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 13 15... k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 14 Bi 2: Thit k b cng 1 Chy chng trỡnh bng cỏch double-click vo biu tng desktop trờn 2 To mt project mi cú tờn : cong4bit 3 u tiờn cn to mch cng 1 bit gm Half Adder v Full Adder : File / New / Block Diagram/Schematic File 4 Thc hin thit k mch cng 1 bit HA nh trong hỡnh : Lu li vi tờn : HA.bdf 5 Tip tc, thc hin thit k mch cng 1 bit FA Bi thớ nghim Thit k ALU. .. 8bit B mụn K thut Mỏy tớnh, Khoa in T 15 Lu li vi tờn file : FA.bdf 6 To symbol (úng gúi thit k) cho file FA.bdf v HA.bdf bng cỏch vo File / Create/Update/ Create Symbol File for Current File 7 Thc hin thit k mch cng 4 bit bng cỏch ghộp 4 module mch cng 1 bit li vi nhau Vo File/ New/ Block Diagram/Schematic File 8 Thờm module mch cng 1 bit vo : Insert / Symbol/ FA (hoc HA) Bi thớ nghim Thit k ALU 8bit. .. Cỏc output l S dng bus (5 ng) t tờn cho cỏc input A, B bng cỏch double-click vo input, phn Pin name gừ vo : A[3 0] v B[3 0] Tng t cho output S[4 0] 11 V cỏc ng bus ( ) v dõy ni ( Bi thớ nghim Thit k ALU 8bit ) cho mch B mụn K thut Mỏy tớnh, Khoa in T 17 12 Click chut phi vo ng bus v dõy ni, chn Properties t tờn cho chỳng theo hỡnh 13 Lu li vi tờn : cong4bit.bdf Mụ phng thit k 14 Biờn dch thit k chn... Ghi chộp s liu v kt qu thớ nghim 1 Mụ t quỏ trỡnh xõy dng mt Project bng phn mm Quatus II 2 Ghi li kt qu mụ phng khi thc hin cỏc phộp toỏn trờn ALU theo bng sau Phộp toỏn A B M S0 S1 Kt qu AND OR XOR XNOR A+Carry A+B+Carry A+Bự B+Carry Bự A +B+Carry 3 Thit k ALU 4bit gm cỏc chc nng: cng/tr, NAND, OR, XOR 1.4 Thớ nghim - c v nghiờn cu bi thớ nghim - c v nghiờn cu lý thuyt cú liờn quan n ni dung bi thớ... sinh viờn phi c thụng qua kim tra ca ngi hng dn thớ nghim Nu khụng t, s khụng c tham gia thớ nghim v cú c thớ nghim tip vo bui khỏc hay khụng s do B mụn v Ban ch nhim khoa gii quyt Bi thớ nghim Thit k ALU 8bit B mụn K thut Mỏy tớnh, Khoa in T 25 Phn II: VIT BO CO TH NGHIM 2.1 Qui nh chung Bỏo cỏo thớ nghim c vit mt mt trờn kh giy A4, úng quyn, bỡa mm (theo mu ) Mi sinh viờn cú mt quyn bỏo cỏo riờng 2.2

Ngày đăng: 04/05/2016, 14:48

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan