Công nghệ và doanh nhân ở Thung lũng Silicon pps

22 250 0
Công nghệ và doanh nhân ở Thung lũng Silicon pps

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

138 Những bài báo vật lí hay – Tập 1 | © hiepkhachquay Công nghệ và doanh nhân ở Thung lũng Silicon Christophe Lécuyer Các công ti Thung lũng Silicon đã phát triển và thương mại hóa một số công nghệ điện và y sinh quan trọng nhất trong nửa sau của thế kỉ 20. Khi làm như thế, họ đã chuyển hóa một vùng chủ yếu là nông nghiệp ở nam bán đảo San Francisco thành một phức hợp công nghệ cao chủ chốt nằm ở trung tâm của cuộc cách mạng thông tin và công nghệ sinh học. Năm 2000, các công ti công nghệ cao ở Thung lũng Silicon sử dụng hơn nửa triệu kĩ sư, nhà khoa học, nhà quản lí và nhà điều hành trong lĩnh vực công nghiệp, từ linh kiện điện tử cho đến máy vi tính. Tình hình này trái ngược hẳn với những ngày khởi đầu khiêm tốn của Thung lũng khi các công ti vô tuyến ở bán đảo San Francisco sử dụng vài trăm kĩ sư và công nhân và hoạt động núp bóng những công ti Viễn Đông lớn như RCA, General Electric, và Westinghouse. Sự lớn mạnh của Thung lũng Silicon từ thập niên 1930 đến thập niên 1990 là một quá trình phức tạp và bất ngờ. Nó được định hình bởi những làn sóng liên tiếp cách tân và đầu tư, sự xuất hiện của các kiểu tài chính mới như nguồn vốn mạo hiểm, và nhu cầu quân sự và thương mại tăng dần đối với các sản phẩm điện tử và y sinh. Mạch tích hợp phẳng đầu tiên, năm 1960. Do Lionel Kattner và Isy Haas thiết kế và chế tạo, dưới quyền chỉ đạo của Jay Last tại Fairchild Semiconductor Sự phát triển của các loại ống và chất bán dẫn Ban đầu, Thung lũng Silicon xuất hiện là một khu công nghiệp chuyên về linh kiện điện tử, nhất là các ống lưới cấp điện, ống vi sóng và chất bán dẫn. Phân khu hệ thống điện tử của nó, © hiepkhachquay | http://www.thuvienvatly.com 139 với các công ti như Hewlett-Packard, vẫn tương đối nhỏ bé mãi cho đến cuối thập niên 1960. Nền công nghiệp ống cấp điện lưới đã được thiết lập bởi những người yêu thích điện tử trong Cuộc khủng hoảng Lớn. Một phần là do vị thế hướng ra biển của nó, bắt đầu trong thập niên 1900 và 1910, khu vực Vịnh San Francisco là một trong những trung tâm nghiệp dư vô tuyến lớn nhất ở nước Mĩ. Cộng đồng nhà đầu tư theo sở thích đầy sức sống của vùng bán đảo đã tạo ra các chuyên gia ống cấp điện lưới và các ông chủ như Charles Litton, William Eitel, và Jack McCullough. Những người này đã thập phòng thí nghiệm Eitel-McCullough (Eimac) và Litton Engineering vào đầu và giữa thập niên 1930. Trong khi Litton Engineering sản suất thiết bị chế tạo ống, thì Eimac chuyên sản suất ống truyền dùng cho những người nghiệp dư vô tuyến. Trong Thế chiến thứ hai, Eimac và những tập đoàn ống địa phương khác đã cung cấp những ống này với khối lượng lớn cho quân đội Mĩ khi họ cần cấp điện cho các bộ radar tần số cao và các bộ truyền dẫn viễn thông vô tuyến. Biểu đồ về nhân công trong sản suất linh kiện điện tử ở Thung lũng Silicon thời kì 1934-1972: ống cấp điện lưới, ống vi sóng và linh kiện Silicon. Trong Thế chiến thứ hai, một nhóm công nghệ và đầu tư khác đã xây dựng một nền công nghiệp linh kiện điện tử liên quan gần gũi, sản suất ống vi sóng, trên bán đảo San Francisco. Nhóm người này thường nghiên cứu vật lí hay kĩ thuật điện và tiến hành nghiên cứu điện tử tại Đại học Stanford vào thập niên 1930 và 1940. Chủ yếu trong số họ là Russell và Sigurd Varian, William Hansen, và Edward Ginzton, cùng với nhau tại Stanford vào cuối thập niên 1930 họ đã phát triển klystron, ống đầu tiên có khả năng phát ra sóng điện từ ở tần số vi sóng. Sau một thời gian làm việc không hiệu quả tại Sperry Gyroscope ở Viễn Đông, những người này đã quay lại vùng bán đảo và thành lập Hiệp hội Varian năm 1948. Những công ti khác ra đời tiếp sau đó. 140 Những bài báo vật lí hay – Tập 1 | © hiepkhachquay Các công ti như Huggins Laboratories (1948), Stewart Engineering (1952), Watkins-Johnson (1957), và MEC (1959). Nhờ những đổi mới liên tục trong thiết kế và xử lí ống, những tập đoàn này đã tự đưa mình trở thành những nhà sản suất Mĩ xuất sắc về klystron, carcinotron, và phân phối ống phát sóng. Trong Chiến tranh Lạnh, các ống này được sử dụng trong nhiều hệ thống quân sự như radar và thiết bị đối phó điện tử. Các công ti ống vi sóng và ống cấp điện lưới đã góp phần xây dựng nên một cơ sở hạ tầng công nghiệp vững chắc trên bán đảo San Francisco. Họ đã đào tạo hàng nghìn kĩ thuật viên và nhà điều hành tài giỏi, thu hút các đại lí vật liệu chuyên môn hóa, và sinh ra những cửa hàng máy độ chính xác cao. Kết quả là họ đã khơi dòng sự phát triển của một nền công nghiệp điện tử khác tính cho đến bấy giờ, nền công nghiệp bán dẫn, vào cuối thập niên 1950 và 1960. Các nhà sáng lập Fairchild Semiconductor William Shockley đã mang điện tử học silicon đến bán đảo San Francisco. Shockley, mộtngười sinh ở Palo Alto, đã phát minh ra transistor cùng với Jean Bardeen và Walter Brattain tại phòng thí nghiệm Bell Telephone ở New Jersey, một thành tựu mà nhờ đó sau này nhóm nghiên cứu đã được trao giải Nobel vật lí. Shockley trở lại vùng bán đảo để thành lập Phòng thí nghiệm Chất bán dẫn Shockley vào năm 1955. Khi trở lại, Shockley đã tuyển một nhóm các nhà vật lí và kĩ sư tài năng làm việc cùng với ông – Robert Noyce, Gordon Moore, Jay Last, Eugene Kleiner, và Jean Hoerni, cùng những người khác nữa. Phản đối phong cách quản lí độc đoán của Shockley, những người này đã rời bỏ việc để khởi đầu công ti riêng của họ, Fairchild Semiconductor, với sự hỗ trợ tài chính từ Fairchild Camera and Instruments vào năm 1957. Trong vòng vài năm, Fairchild Semiconductor đã làm cách mạng hóa nền công nghiệp chất bán dẫn. Sử dụng một quá trình mới được phát triển gần đấy tại phòng thí nghiệm Bell Telephone, Fairchild là công ti thương mại đầu tiên đưa transistor silicon tần số cao ra thị trường. Nhóm nghiên cứu và kĩ thuật viên của nó sau này đã thực hiện quy trình chủ yếu và cách tân thiết kế cho phù hợp với yêu cầu tin cậy và thực thi chính xác của quân đội Mĩ. Năm 1959, Hoerni phát triển quá trình phẳng, một đổi mới mang tính cách mạng làm cho có thể sản suất những linh kiện silicon độ tin cậy cao. Tư bản hóa quá trình này, Noyce đã phát minh ra mạch tích hợp phẳng (Jack Kilby trước đó đã phát triển một mạch tích hợp đỉnh bằng tại Texas Instruments). Ý tưởng mạch tích hợp được đưa vào silicon và phát triển thành sản phẩm © hiepkhachquay | http://www.thuvienvatly.com 141 trong hai năm sau đó bởi một nhóm do Last đứng đầu. Fairchild Semiconductor đưa dòng mạch tích hợp số đầu tiên của nó ra thị trường vào năm 1961. Thiết bị sản suất tại Fairchild Semiconductor, giữa thập niên 1960 Phản ứng trước sự suy giảm nhu cầu quân sự đối với các linh kiện điện tử vào đầu những năm 1960, Fairchild Semiconductor đã tạo ra thị trường mới cho transistor và mạch tổ hợp của nó trong mảng thương mại. Để phù hợp giá cả và nhu cầu của người dùng thương mại, các kĩ sư của Fairchild đã đưa kĩ thuật sản suất ra khỏi nền công nghiệp điện và tự động và thành lập nhà máy ở những khu vực giá lao động thấp như Hong Kong và Hàn Quốc. Phòng thí nghiệm ứng dụng của công ti cũng phát triển các hệ thống mới lạ như bộ thu truyền hình hoàn toàn ở thể rắn và làm cho những thiết kế này ở mức vô giá đối với khách hàng của nó, nhờ đó gieo mầm thị trường cho sản phẩm của nó. Để thuyết phục hơn nữa những người dùng thương mại về tiềm năng của các mạch tích hợp, Moore đã công bố “định luật Moore” nổi tiếng của ông năm 1965. Moore tiên đoán rằng số transistor có thể nhét trên một mạch silicon sẽ tăng gấp đôi mỗi năm – từ 50 linh kiện riêng lẻ trong năm 1965 lên 65.000 mười năm sau đó. Sử dụng kĩ thuật tiếp thị này, Fairchild đã phát triển một thị trường rộng lớn cho dụng cụ của nó vào giữa thập niên 1960. Năm 1966, Fairchild tự xem mình là nhà sản suất mạch tích hợp khổng lồ và nắm giữ 55% thị trường các dụng cụ đó ở nước Mĩ. Biểu đồ định luật Moore 142 Những bài báo vật lí hay – Tập 1 | © hiepkhachquay Tiếp nhận đầu tư mạo hiểm Fairchild Semiconductor cũng đã định hình lại phức hợp sản suất điện tử của vùng bán đảo. Nó mang quỹ đầu tư mạo hiểm và các nhà tư bản mạo hiểm đến khu vực này. Các nhà tài phiệt và kĩ sư có dính líu đến tổ chức của Fairchild Semiconductor đã thành lập một loạt hiệp hội đầu tư mạo hiểm như Davis and Rock, và Kleiner Perkins. Thành công của Fairchild cũng dẫn tới một sự bùng nổ phi thường trên vùng bán đảo trong thập niên 1960 và đầu những năm 1970. 60 công ti chất bán dẫn được thành lập trong khu vực từ năm 1961 đến 1972. Chúng hầu như đều được sáng lập bởi các cựu kĩ sư và nhà điều hành của Fairchild. Ví dụ, Noyce và Moore đã sáp nhập Intel năm 1968. Các nhân viên Fairchild khác thành lập Amelco, Signetics, Intersil, National Semiconductor, và Avanced Micro Devices (AMD). Những tập đoàn này khai thác các công nghệ mang tính cách mạng do Fairchild Semiconductor phát triển và mở rộng thêm thị trường thương mại cho mạch tích hợp. Intel sử dụng quá trình MOS mới phát triển tại Fairchild để sản suất bộ nhớ máy tính hiệu suất cao. Một nhóm kĩ sư Intel gồm Ted Hoff, Federico Faggin, và Stan Mazor, cũng thiết kế ra bộ vi xử lí, máy vi tính trên một con chip, vào năm 1971. Là kết quả của những đổi mới này và những đổi mới khác, nền công nghiệp bán dẫn của vùng bán đảo hết sức phát triển vào cuối thập niên 1960 và nửa đầu thập niên 1970. Tổng số nhân công bán dẫn trên bán đảo tăng từ 6.000 công nhân năm 1966 lên 27.000 năm 1977. Sự bùng phát nhanh chóng này đã định hình lại sâu sắc phức hợp sản suất điện tử của vùng. Nó đã biến đổi một khu công nghiệp bị thống trị bởi nền sản suất ống thành “Thung lũng Silicon”, là một khu vực ngày càng được nhắc tới vào đầu và giữa thập niên 1970. Việc kinh doanh linh kiện điện tử và nền công nghiệp tư bản mạo hiểm phát sinh từ chúng mang lại cơ sở cho sự phát triển bùng nổ của Thung lũng Silicon về những hệ thống công nghiệp mới như máy tính, thiết bị, và viễn thông trong thập niên 1970 và 1980. Số phận các linh kiện được đầu tư trở lại trong các dự án máy tính, viễn thông, và thiết bị. Quan trọng hơn, các mạch tích hợp ngày càng mạnh hơn và rẻ hơn bao giờ hết làm cho có thể thiết kế những hệ thống hoàn toàn mới. Các công ti mới khởi nghiệp và đã thành lập từ trước khai thác ngay những cơ hội công nghệ và thương mại mới này. Hewlett-Packard, cho đến khi đó vẫn chỉ tập trung vào các dụng cụ đo lường điện tử, đã mở rộng kinh doanh của họ sang máy tính, máy tính mini, và máy in mực phun. Những dự án mới tập trung vào máy tính an toàn (Tandern), video game (Atari), và thiết bị viễn thông (Rolm). Nhưng chính nền công nghiệp máy tính cá nhân mới đưa Thung lũng Silicon trở thành một trung tâm quan trọng về sản suất hệ thống điện tử. Nền công nghiệp này, không phải không giống với nền sản suất ống cấp điện lưới 40 năm trước đó, đã được thiết lập bởi một nhóm người yêu thích điện tử. Những người say mê này đã tụ họp xung quanh một câu lạc bộ thân mật, Câu lạc bộ Máy tính Homebrew. Câu lạc bộ này đã làm phát sinh trên 10 dự án máy tính cá nhân như Processor Technology, Apple Computer, và Osborne Computer vào giữa thập niên 1970. Được tài trợ bởi cộng đồng tư bản mạo hiểm của vùng bán đảo và sử dụng các nhà quản lí từng trải từ Fairchild và Intel, Apple nhanh chóng xuất hiện như một nhà sản suất máy tính cá nhân nổi trội ở Thung lũng Silicon. Nó đưa ra một loạt máy cải tiến, trong đó có Macintosh năm 1984. Thành ra sự phát triển nhanh chóng của Apple đã làm bùng nổ nền công nghiệp phần mềm và đĩa cứng trên bán đảo San Francisco. © hiepkhachquay | http://www.thuvienvatly.com 143 Biểu đồ nhân công ở Thung lũng năm 1959, 1975 và 1990 Sự xuất hiện của Công nghệ Sinh học Đại học Stanford đã làm nở rộ thêm nữa vườn hoa công nghệ và đầu tư của vùng Thung lũng vào đầu và giữa thập niên 1980. Các nhóm kĩ sư Stanford đã chỉ đạo những chương trình c và phát triển mang tính đổi mới về cấu trúc máy tính và mạng máy tính với sự tài trợ từ chương trình VLSI của Cơ quan quản lí các dự án tiên tiến thuộc Bộ quốc phòng (DARPA). Một đội dưới quyền John Hennessy đã hỗ trợ phát triển bộ vi xử lí RISC (Reduced Instruction Set Computer). Với sự tài trợ của DARPA, Jim Clark đã phát triển engine hình học để xử lí ảnh ba chiều. Những nỗ lực xây dựng một mạng máy tính phức tạp tại Stanford đã đưa đến thiết kế của một trạm máy tính mạnh do Andreas Bechtolsheim thực hiện năm 1981. William Yeager, một kĩ sư Stanford khác, đã phát triển bộ định tuyến mạng trong năm sau đó. Những công nghệ mới này (cũng như các công nghệ có liên quan phát triển tại Đại học California, Berkeley) được thương mại hóa bởi các công ti khởi nghiệp như Cisco Systems, Sun Microsystems, Silicon Graphics, và MIPS Computer Systems. Trong thập niên 1980 và phần nhiều thập niên 1990, những công ti này đã tự đặt mình thành nhà cung cấp chủ yếu của các trạm, bộ định tuyến tiên tiến, và những dụng cụ internet khác. 144 Những bài báo vật lí hay – Tập 1 | © hiepkhachquay Song song với sự bùng nổ của ngành công nghiệp công nghệ thông tin, vùng Thung lũng còn chứng kiến sự xuất hiện của một ngành mới, công nghệ sinh học, vào nửa cuối thập niên 1970 và trong thập niên 1980. Thung lũng Silicon đã mang lại mảnh đất phì nhiêu cho sự hình thành của ngành công nghiệp mới này. Đại học California, San Francisco (UCSF), Stanford, và Đại học California, Berkeley có các chương trình sinh học phân tử mạnh – chúng đóng vai trò như mảnh đất ươm mầm cho các nhà khoa học cũng như nguồn cách tân chủ yếu. Ví dụ, Stanley Cohen và Herbert Boyer (tương ứng tại Stanford và UCSF) đã phát triển kĩ thuật ADN tái tổ hợp vào đầu những năm 1970. Đồng thời ngành công nghiệp tư bản mạo hiểm của Thung lũng cũng tài trợ mạnh tay cho kinh doanh công nghệ sinh học và một số trường hợp giữ vai trò quan trọng trong sự hình thành của các tập đoàn công nghệ sinh học. Ví dụ, Robert Swanson thuộc Kleiner Parkins đã thuyết phục Boyer thành lập Genentech năm 1976. Nhiều nhà sinh học tại các trường đại học địa phương lần lượt được mời đến. Ví dụ, Paul Berg và Arthur Komberg, hai nhà đoạt giải Nobel ở khoa viện Stanford, đã thành lập DNAX vài năm sau đó. Năm 1984, 22 công ti công nghệ sinh học đang hoạt động tại vùng Vịnh San Francisco. Việc này khiến cho Thung lũng Silicon là một trong những trung tâm công nghệ sinh học lớn nhất của nước Mĩ. 400, bộ vi xử lí đầu tiên của Intel và một ảnh chip Sức mạnh của vùng về công nghệ sinh học và công nghệ thông tin đã mang đến sự ra đời công nghệ và ngành công nghiệp lai. Ví dụ, IntelliGenetics (1981) đã khai thác sinh học thông tin, hay sinh học phân tử máy tính. Nó quản lí BIONET, một tài nguyên máy tính quốc gia dành cho sinh học phân tử cung cấp các cơ sở dữ liệu sinh học phân tử lớn cũng như các công cụ tính toán và phần mềm phức tạp dùng cho chuỗi tìm kiếm, so khớp và điều chỉnh. Đồng thời, tiêu biểu cho sự hợp nhất của công nghệ bán dẫn, phần mềm và sinh học phân tử là Genechip. Dụng cụ này, được Affymetrix phát triển và đưa ra thị trường, được chế tạo với nhiều kĩ thuật giống như dùng trong sản suất mạch tích hợp. Chip đóng vai trò hệ chẩn đoán ADN thu nhỏ có khả năng theo dõi vài trăm triệu đặc trưng biểu hiện gen. Như vậy, từ một vài nhà nghiệp dư vô tuyến làm ăn qua loa với các ống truyền phát vào cuối những năm 1920 và đầu những năm 1930 đã dẫn đến sự ra đời của một phức hợp công nghệ © hiepkhachquay | http://www.thuvienvatly.com 145 cao phong phú và sôi nổi. Không có gì ngạc nhiên, Thung lũng đã trở thành mô hình điểm cho sự phát triển vùng và công nghiệp trên nền công nghệ cao. Nhiều chính quyền quốc gia và vùng miền ở châu Âu, châu Á và Bắc Mĩ đã cố tạo lại bản sao của Thung lũng Silicon, với nhiều mức độ thành công khác nhau. Những nỗ lực này đa dạng từ Sophia-Antipolis ở vùng Riviera, Pháp, cho đến công viên công nghệ Hsinchu ở gần Đài Bắc, Đài Loan. William Shockley, Walter Brattain, và John Bardeen phát minh ra transistor tại Phòng thí nghiệm Bell Telephone. Họ nhận giải Nobel vật lí năm 1956. 1932 Charles Litton thành lập Phòng thí nghiệm Litton Engineering, nhà sản suất thiết bị chế tạo ống và nhà cung cấp dịch vụ kĩ thuật ống. 1934 William Eitel và Jack McCullough thàn lập Eitel-McCullough (Eimac), một công ti chuyên sản suất ống cấp điện lưới. 1937 Russell Varian, Sigurd Varian, và William Hansen phát minh ra ống klystron tại Stanford. 1939 David Packard và William Hewlett thành lập Hewlett-Packard. Thế chiến 2 Mở rộng của Eimac, Litton Engineering, và Hewlett-Packard. 1946 Charles Litton hợp nhất Litton Industries, nhà sản suất magnetron. 1947 William Shockley, Walter Brattain, và John Bardeen phát minh ra transistor tại Phòng thí nghiệm Bell Telephone. 1948 Anh em nhà Varian, Edward Ginzton, và Myrl Stearns thành lập Hiệp hội Varian. 1950-1953 Chiến tranh Triều Tiên làm gia tăng sự phát triển của ngành công nghiệp hệ thống và ống truyền dẫn của vùng bán đảo. 1955 William Shockley thành lập Phòng thí nghiệm Shockley Semiconductor. 1957 Thành lập Fairchild Semiconductor. 1959 Jean Hoerni phát minh ra cách xử lí phẳng tại Fairchild Semiconductor. Tiếp nhận đơn đăng kí phát minh của Noyce về mạch tích hợp. 1960-1961 Đội nghiên cứu và phát triển dưới quyền Jay Last phát triển ý tưởng mạch tích hợp thành sản 146 Những bài báo vật lí hay – Tập 1 | © hiepkhachquay phẩm. 1961 Thành lập Amelco and Signetics. Đầu thập niên 1960 Robert McNamara cải cách quân sự khiến các công ti ở Thung lũng Silico chuyển sang thị trường thương mại. 1965 Varian hợp nhất với Eimac. Moore đề xuất “định luật Moore” trong cuốn Điện tử học. 1966 Charles Sporck thuộc Fairchild Semiconductor tiếp quản National Semiconductor, một công ti bán dẫn Viễn Đông, và biến nó thành nhà sản suất mạch tích hợp đặt tại Thung lũng Silicon. 1968 Noyce và Moore thiết lập Intel. 1971 Ted Hoff, Federico Faggin, và Stan Mazor phát triển bộ vi xử lí tại Intel. 1973 Stanley Cohen thuộc Stanford và Herbert Boyer thuộc UCSF phát triển thủ tục ghép nối và dòng vô tính ADN. 1975 Thành lập Câu lạc bộ Máy tính Homebrew. 1976 Herbert Boyer và Robert Swanson thành lập Genentech. Steve Wozniak and Steve Jobs establish Apple Computer. 1981 Thành lập IntelliGenetics. Andreas Bechtolsheim thiết kế trạm hoạt động SUN. 1982 William Yeager phát triển bộ định tuyến cho Mạng máy tính Đại học Stanford. Thành lập Sun Microsystems. 1984 Apple Computer tung ra Macintosh. John Hennessy thành lập MIPS Computer Systems để thương mại hóa công nghệ RISC. Thành lập Cisco Systems. 1993 Hợp nhất Affymetrix để thương mại hóa GeneChip. Nguồn: Technology and Entrepreneurship in Silicon Valley (nobelprize.org) hiepkhachquay dịch An Minh, ngày 26/02/2008, 17:55:33 © hiepkhachquay | http://www.thuvienvatly.com 147 Ông chủ tình cờ Gordon E. Moore Giống như nhiều nhà khoa học và kĩ sư khác về sau thành lập công ti, tôi không rời khỏi Caltech với tư cách một doanh nhân. Tôi không được đào tạo về kinh doanh; sau năm học thứ hai tại trường, tôi không học thêm bất kì khóa nào ngoài hóa học, toán học và vật lí. Sự nghiệp doanh nhân của tôi bắt đầu khá bất ngờ. Và mọi thứ diễn biến khó mà tiên đoán trước. Khi tôi tốt nghiệp Caltech với bằng tiến sĩ vật lí năm 1954, tôi đi phỏng vấn tìm việc với một vài công ti, một trong số đó là Dow Chemical. Dow đang bận tâm thành lập một phòng thí nghiệm nghiên cứu ở California, và họ nghĩ tôi có thể là người mà họ có thể gửi đến tổng hành dinh ở Midland, Michigan, để đào tạo rồi quay trở về đây về một số vai trò quản lí. Vì thế, họ gửi tôi đến một nhà tâm lí học để kiểm tra mức độ xem tôi đáp ứng như thế nào. Nhà tâm lí học nói tôi OK về mặt kĩ thuật nhưng tôi sẽ không bao giờ điều hành được cái gì cả. Cuối cùng thì Dow cho tôi một chỗ làm ở Midland, nhưng không bao lâu sau thì nó nhượng lại một phần của nó ở California. Sau cùng, tôi không đi Midland, mà thay vào đó đến Phòng thí nghiệm vật lí ứng dụng tại Đại học Johns Hopkins, vai trò của phòng thí nghiệm này đối với Johns Hopkins gần như giống JPL với Caltech, và ở đó tôi có thể tiếp tục nghiên cứu cơ bản trong lĩnh vực tôi đã làm trước đó. Nhưng tôi đã tự tính giá thành trên mỗi từ trong những bài báo mà chúng tôi công bố và tự hỏi không biết những người chịu thuế có thật sự hiểu được giá trị đồng tiền của họ ở mức 5 đô la/ từ hay không. Đúng ngay khi tôi bắt đầu lo ngại về những người chịu thuế thì nhóm mà tôi đang làm việc trong đó, vì nhiều lí do khác nhau, bị tan rã. Vì thế tôi quyết định tìm một việc gì đó có khuynh hướng thực hành hơn một chút, và đồng thời xem xét khả năng liệu tôi có thể trở lại California hay không. Phòng thí nghiệm Lawrence Livermore phỏng vấn tôi và cho tôi một chỗ làm, nhưng tôi quyết định tôi không muốn tham gia vào bóng ma bom hạt nhân đang bùng phát, cho nên tôi tháo lui. Rồi một buổi tối tôi nhận được điện thoại từ Bill Shockley, người biết tên tôi từ danh sách những người không chịu làm việc cho Lawrence Livermore. Lúc ấy, Shockley là một cái tên có địa vị ở Caltech. Sau khi lấy bằng cử nhân khoa học ở đó năm 1932, ông đã đi đến phát minh ra transistor. Ông làm việc tại Phòng thí nghiệm Bell, và lúc ấy ông muốn thành lập một công ti bán dẫn ở miền Viễn Tây (có rất nhiều giao thiệp Caltech ở đấy – hoạt động được hỗ trợ tài chính bởi Arnold Beckman) với ý tưởng sản suất transistor silicon rẻ tiền. Shockley biết rằng một nhà hóa học là hữu ích trong kinh doanh bán dẫn; nên họ có các nhà hóa học tại Bell Labs, nơi họ làm [...]... khai thác m t m ch công ngh c c kì phong phú, nhưng công ti khai thác qu nh làm ch cái ang di n ra K t qu chung là cái mà tôi g i là “hi u ng Thung lũng Silicon : m i ý tư ng m i i n vi c ra i ít nh t là m t công ti m i úng là có hàng tá công ti ã ra i t tr i nghi m Fairchild Không ch th c hi n công ngh ã ra i kh i nó, Fairchild còn óng vai trò là m t m u doanh nghi p thành công và y khích l h i ch... 26.000 nhân công trong năm 1984 Chúng tôi ch vư t qua ngư ng 26.000 nhân công m t l n n a h i năm ngoái [2000], và công ti ã có thu nh p g p 5 l n khi ó S c nh tranh ngày nay m nh m hơn trong quá kh M t công ti kh i nghi p ngày nay có kh năng không th i u ki n v a h c v a làm như chúng tôi ã làm H c b ng cách th và sai Có nh ng nhà doanh nghi p b m sinh, i v i h ng cơ kinh doanh chi ph i m i th , và h... n m t công ngh th ba – m t m u m i c a công ngh MOS (bán d n ôxit kim lo i) g i là MOS c ng silicon ây, i n c c “c ng” c a transistor trư c ó ư c ch t o t kim lo i (thư ng là nhôm) – ch M trong MOS – ư c thay th b ng màng silicon có m t vài ti n l i quan tr ng cho t c chuy n m ch d ng c và m t óng gói trên b m t bánh x p silicon Và ó là hư ng i úng B ng cách t p trung lên m t lo i công ngh này và hư... các công ti l n i vào công ngh c a chúng tôi May thay, th t h t s c may m n, chúng tôi ã i n m t công ngh có m c khó v a phù h p cho m t s kh i u thành công Intel ã b t u như th y Chip 4004 c a Intel, ch a 2200 transistor, là b vi x lí 4 bit và có b nh 9,2 K (trên m t chip khác) M c dù chip máy tính này ã b t u cu c cách m ng trong lĩnh v c máy tính cá nhân, nhưng công ti ã b m t cơ h i i vào kinh doanh. .. 20 và có l top 10 công ti có l i nhu n cao nh t c a th gi i Chúng tôi tăng d n s d n u c a mình v i tư cách là nhà s n su t ch t bán d n l n nh t và có hơn 30.000 nhân công trên kh p th gi i Nhưng có m t s th tôi không t hào l m ã n cùng v i nó Ví d , năm 1984, chúng tôi ã t nh 26.000 nhân công; năm 1986, chúng tôi gi m xu ng dư i 16.000 Sa th i 8000 công nhân không ph i là chuy n hài lòng l m, và. .. u này, ông i v nhà êm ó và ưa ra lí thuy t c a m t hi u ng trong ch t bán d n Ông quay tr l i vào ngày hôm sau và nói “ ây Hãy trích nó ra và ghi tên các anh vào và công b nó” Cu i cùng, s b t u k t thúc, trong 148 Nh ng bài báo v t lí hay – T p 1 | © hiepkhachquay ch ng m c tinh th n như ã nói, x y ra khi chúng tôi có m t v n nh nh t trong công ti và Shockley quy t nh toàn b nhân viên ph i qua phép... b tách ra thành l p m t công ti bán d n c nh tranh ây là công ti spin-off u tiên c a Thung lũng Silicon mà chúng tôi ch u i u hành công ti Chúng tôi chưa h hi u kha khá i u này Baldwin có s tham gia h p pháp ti m năng như chúng tôi; nhưng anh ta chưa h u tư 500 ô la nên anh ta không h có c ph n Anh ta không xem Fairchild Semiconductor là công ti c a mình, và vì anh ta mu n có công ti riêng c a mình,... gi i quy t v n và xúc ti n v i nó Nhưng các công ti ã thành l p ang nh m t i công vi c kinh doanh chính c a h và ti n hành phát tri n quy trình m i khía c nh khác, không có th i gian t p trung vào gi i quy t v n ó và m t m y năm m i xúc ti n v i nó Ư c tính ban u c a chúng tôi là chúng tôi có năm năm phát tri n l n nh m ngăn c n các công ti hi n có ưa chúng ta ra kh i th trư ng kinh doanh Th t v y,...nh ng công vi c có ích Và tôi là m t nhà hóa h c, nên Shockley tìm m t doanh nhân, tôi quy t nh tham gia ho t ng này n tôi V n không ph i là Nhân viên c a William Shockley u ng rư u m ng vào cái ngày năm 1956 khi ông ư c trao gi i Nobel v t lí cho phát minh ra transistor Tám ngư i có m t trong hình ã i con ư ng riêng c a vào năm sau ó, và thành l p t p oàn Fairchild Semiconductor Tôi là m t nhân viên... n và 30.000 nhân công vào cu i nh ng năm 1960 Nó là m t t p oàn khá l n vào lúc chúng ta làm vi c Nhưng các th b t u t i – m t ph n, tôi nghĩ, là do nó b chi ph i b i công ti Vi n ông Cái uôi mi n Vi n Tây không lúc l c hi u qu l m i v i chú c u mi n Vi n ông Fairchild b t u phát sinh m t s v n qu n lí Th t v y, ban lãnh o ã sa th i hai nhân viên qu n tr ch ch t trong vòng 6 tháng, và ang i u hành công . © hiepkhachquay Công nghệ và doanh nhân ở Thung lũng Silicon Christophe Lécuyer Các công ti Thung lũng Silicon đã phát triển và thương mại hóa một số công nghệ điện và y sinh quan trọng. nghiệp ở nam bán đảo San Francisco thành một phức hợp công nghệ cao chủ chốt nằm ở trung tâm của cuộc cách mạng thông tin và công nghệ sinh học. Năm 2000, các công ti công nghệ cao ở Thung lũng Silicon. ngành công nghiệp công nghệ thông tin, vùng Thung lũng còn chứng kiến sự xuất hiện của một ngành mới, công nghệ sinh học, vào nửa cuối thập niên 1970 và trong thập niên 1980. Thung lũng Silicon

Ngày đăng: 08/08/2014, 15:22

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan