1. Trang chủ
  2. » Kỹ Thuật - Công Nghệ

21st Century Manufacturing Episode 2 Part 2 doc

20 290 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

21. Semiconductor Manufacturing Chap. 5 Texas, and California, semiconductors became an intensely competitive global industry by the 198Os, with Japanese producers steadily usurping the market lead. In the 1980sthe u.s. semiconductor industry's competitive slide was caused in large part by persistent manufacturing weaknesses. The slide was initially blamed on unfair trade practices by Japan. But while their Japanese competitors focused intensely on process improvements that enabled them to boost chip yield and lower production costs, u.s. firms concentrated on improving chip miniaturization and functionality and largely neglected the efficiency of the production process. Lagging productivity and product quality sharply undercut the competitiveness of U.S. semi- conductors. By 1985,things looked especially grim for much of the U.S.industry. Excess fab- rication capacity led to huge industry losses, and many semiconductor start-ups were forced out of the market. Routine production then moved out of the United States to Japan,Malaysia, South Korea, and Taiwanin order to take advantage uf low labor costs but also because of excellent production methods. The loss ofmarket share and cumu- lative production experience appeared to doom the u.s. semiconductor industry. \ The competitive picture for the u.s. semiconductor industry is very different today. Macher and associates (1998) identify the following "corrective" issues: • The improvements in quality assurance in all aspects of U.S.fabrication • Many innovative fabrication methods in lithography, etching, and doping • Important changes in the worldwide demand for semiconductors • The fact that in the mid-1980s, the United States withdrew from some IC product lines-certain memory products were examples; these were products in which design innovations could not compensate against the superior capital investments that other countries had made in manufacturing excellence in their foundries The change in U.S. quality is dearly shown in the period between May and November 1993as measured bycomparison withJapanese and Korean tabs (Figure5.33). This graph is the integrated yield for 0.7 to 0.9 micron CMOS memory chips. Leachman and Hodges (1996) show similar trends for all chip designs, both logic and memory. The reader is referred to Leachman and associates' extensive report series at <http://euler.berkeley.edulao.>. Tremendous growth in new applications has also boosted demand for ICs. Memories and PC-oriented microcomponents still take up most of the market, indi- cating the computer industry remains the most important consumer of ICs. In addition, ICs are also at the heart of a burgeoning array of new products including high-definition television (HDTV), interactive multimedia, integrated services digital networks (ISDNs), cellular and wireless communication systems, automotive electronics, and handheld computers. There are major new sources of mass demand for electronics, computers, and communications products in Asia, Latin America, India, Eastern Europe. and other regions. At the same time, many IC users are demanding products tailor-made to their specifications, creating an array of specialty and niche markets.All of these trends have resulted in a substantial surge in global production in nearly every type of semiconductor Ie manufacturing. 5.13 Management of Technology 2'5 Integrated yield in 0.7-0.9 micron CMOS memory process flow5 30 100 90 so ~70 i 60 Iso s .s 40 20 10 o ;: ;:;:;:;: ;:~~~S:;~~~~~~~~d';d';d';d';d';d'; ~~~j!~~~~~k~~~t~k~~~~~k~ tlpre 5.33 Trends in U.S.quality assurance show distinct changes after May 1993 {courtesy Leachman and Hodges. 1996) In summary. u.s. producers have recovered and maintained significant market share. They have done so in part by reengineering from commodity chips to high- value-added products, particularly microprocessors. The 1900s market was still rela- tively small for these products, but now they are in great demand. However, manufacturing quality and efficiency still seem to be the key factors. This statement is true for both the automobile and the semiconductor industries as they continue to grow alit of the doldrums of the mid-1980s. 5.13.2 The $2.5 Billion F8b Staying ahead in the semiconductor market today is extremely expensive. Constant product innovation forces companies to invest more heavily inproduct design and plan- ning. At the same time, constructing and equipping a new manufacturing facility costs twice as much as it did 10 years ago. For example, a high-volume fabrication plant for DRAMs has risen from about $400million in 1990to approximately $1 billion today. Part of the cost is due to the fact that semiconductor making is a highly toxic process, heavily regulated by environmental and worker protection laws (see Sid- dhaye, 1999). Moving to submicron and large wafer process technologies will drive costs up even further. Over the next five years the scenario for a fabrication plant is: •0.13 to 0.18 micron features • 300 rom (12 inch) wafers -Japan -Korea -USA 216 Semiconductor Manufacturing Chap. 5 • 25,000wafers per month • Projected cost of $2.5 billion Moore has noted (see Leyden, 1997) that other observers in the semiconductor equipment manufacturing field have updated his "law" with respect to manufacturing. The predictions are that the construction and equipping costs willrise exponentially and be even more dramatic than in the past decade.Although anecdotal, this"new law"states that the cost of a semiconductor fabrication plant will roughly double every two years. 5.13.3 Trends and "Alliances" in Advanced Lithography These investments are daunting even for the deep pockets of Intel, Lucent, and IBM. And the future, beyond these 300 mrn fabs, is even more daunting. Therefore, con- sortia projects, or "a1liances," between these larger companies are beginning to emerge. This is especially the case of advanced lithography, which, as can be seen in Table 5.4, already accounts for the largest fraction of front-end costs. 5.13.3.1 UV and Deep-UV Lithography The 0.35 micron lines of the late 1990s were generated from UV sources with wave- lengths of 365 nanometers. Today's 0.25 micron lines are generated with deep-UV (DUV) sources at 248 nanometers. Generally, the cited limit of commercial deep UV with high-purity glass lenses is a wavelength of 193 nanometers that can produce lines 0.13 micron wide, although recent trade reports indicate that 0.08 micron line widths might be feasible with alternating aperture phase shift masks (see Semicon- ductor International, 1998). 5.13.3.2 E UV Lithography One alliance for future miniaturization is between Intel, Motorola, Advanced Micro Devices, three national laboratories, and several semiconductor equipment manufac- turers. Their project is utilizing shorter wavelength, extreme ultraviolet (EUY) lithog- raphy rather than ordinary UV lithography. The goal isa0.03 to 0.1micron feature size. In EUY, laser generated plasmas produce a source at wavelengths of 13 nanometers. Highly reflective molybdenum/silicon mirrors, rather than glass lenses, focus the 13 nanometer waves through the mask and demagnifiy them onto the wafer to create the features (Figure 5.34). For the beta version of the manufacturing equip- ment, the aim isto produce 300mm wafers, 26 x 52 mm dice, 0.1micron features, and 40 wafers per hour. 5.13.3.3 X-Ray Lithography X-ray lithography uses 0.01 to 1 nanometer wavelength sources and has been suc- cessfully used to build devices in the 0.02 to 0.1 micron range. The process requires a synchrotron to accelerate the high-energy electrons for the source. The process is being developed at IBM and Sanders (see DeJule, 1999). While the technical feasi- bility has been well proved in dedicated locations, other observers argue that com- mercial fabs-accustomed to DUV lithography-will not rush to install and maintain a synchrotron (see Peterson, 1997). 5.13 Management of Technology ~RetiCle 217 Figure5.34 EUVlithography. 5.13.3.4 Scattering with Angular Limitation Projection Electron- Beam Lithography: SCALPEL In this method, an electron beam is used to direct a high-energy, finely focused "pencil source" onto the substrate. Rather than use a photomask, the beam can be directly guided by the data in the CAD files. Lucent Technologies' Bell Labs has developed a version of the process that is called SCALPEL (scattering with angular limitation projection electron-beam lithography). Table 5.8 summarizes the values discussed earlier, and Figure 5.35 shows the projected technologies needed to push BUV to greater limits.Advances in resist and mask technologies are also needed to achieve such "deep submicron" levels. TABLE 5.8 Lithography Summary Method Wavelength (nanometers) Feature sire (nanometers and micmn~) uv Deep Uv Deep UV refined ExtremeUV X-ray SCALPEL (electron beam) 365 248 193 10-20 0.Q1~1 350 (0.35 micron) 250 (0.25 micron) 13Q 180 (0.13 0.18 micron) 30-100 (0.03-0.1 micron) 20-100 (0.02-0.1 micron) 80 (0.08 micron) "Siliconcsubslr3te Reduction Condenser optics Laser 218 Semiconductor Manufacturing Chap. 5 hr,tv"arofICprUUlIni')!1 ZO!4 _ Research required ~ Development under way c::::::J Qualification/preproduction This legend indicates the time that research, development, and qualification/preproduction should be taking place for the technology solution. • Note: Production level exposure tools should be available one year before first Ie shipment. npn 5.35 Critical level exposure technology potential solutions (eourte5y of Semiconductor Industry A$sociation, 1998). - 5.13 Management of Technology 219 5.13.4 Trends In Advanced Materials and Processing In addition to lithography, advances are needed in materials science because there are natural limits to the "scalability" of the generic technology based on silicon. Some of these trends in materials are summarized in Figure 5.36. Examples that are often discussed include the following (see Bohr, 1998; and Semiconductor Industry Association, 1997): • The creation of new substrate techniques, especially silicon-on-insulator (SOl). The procedure replaces the bulk silicon substrate. Instead, a thin layer of silicon is created on an insulating surface. In the 1960s,sapphire was tried as the backing substrate for the layer of silicon.But later, the "bond and etch-back" "7 22. Semiconductor Manufacturing Chap. 5 method was developed. In this method two silicon wafers-c-one with a pre- grown oxide layer-are first bonded together. One of the layers of silicon is then gradually etched down, to leave thousands of angstroms of silicon on oxide. After appropriate doping, the silicon is then ready to have the transis- tors built on it. In comparison with standard CMOS, this provides low power and high speed for logic circuits (see Bohr, 1998; DeJule, 1999b). • Replacing the silicon dioxide under the gate with other materials that have better dielectric properties. Specifically,for features smaller than today's 0.25 to 0.35 micron, the layer of silicon dioxide below the polysilicon gate is only 2 nanometers-that is, 4 to 5 atoms-thick. At this thickness of SiOz, it is pos- sible that electron tunneling can occur. Materials such as tantalum oxide are cited in the literature as an alternative. • Replacing the polysilicon of the gate with materials that reduce the gate delay. • Gradually replacing aluminum as the main interconnect material with other metals such as copper that have better conductivity (Braun, 1999). Copper has twice the conductivity of aluminum. If problems with contamination of the sil- icon substrate can be solved, copper may well be used for the next few years. Nevertheless, it is emphasized in the literature that if the feature sizes do indeed approach 0.05 micron toward the year 2012 (see Semiconductor Industry Association, 1997), then copper alloys will also fall short of the nec- essary gate speeds. Copper may "only buy us one or two generations" of product (Spencer, 1998). • Developing multilayer resist technologies that allow taller features to be built on the substrate. • Developing processing solutions such as chemical mechanical polishing (CMP). This has great potential for increasing the number of interconnect layers and hence circuit density in advanced ICs and microprocessors. If suc- cessive layers can be planarized between deposition steps, then it will be pos- sible to increase interconnect layers to as many as 12,as shown in Figure 5.37. 5.13.5 Trends in Business Practices In 1987, an organization called SEMATECH (the SEmiconductor MAnufacturing TECHnology consortium) was created. A combination of federal government and industry funding began SEMATECH, and although the federal funding ended in 1996,the organization continues to represent an important alliance for the semicon- ductor industry as a whole. It especially creates an effective collaboration between U.S. manufacturers of ICs and the equipment suppliers who support them (see Macher et al., 1998). It is likely that the early success of SEMATECH augured well for a "culture of alliances." As the 19908 unfolded and it was evident that R&D costs were so astro- nomical, most companies realized they could not go it alone. Thus, as discussed earlier, the EUV lithography alliance has considerable public visibility. However, there are many forms of alliances emerging that include licensing agreements, fab/assemblyltesting agreements, joint funding of new IC designs, and joint manu- facturing process ventures. 5.13 Management of Technology 221 Metal interconnects layers Wafer Flgure 5.37 In<.:Teasein inlen:onnect layers made possible by chemical mechanical polishing (adapted from Bohr, 1998). Another example of an alliance is the International300-mm Initiative (13001), which includes chip makers from the United States, Europe, Korea, and Taiwan (see Ham et at, 1998). This alliance is focused specifically on the R&D needed to make the bigger 300 mm wafers. Difficulties include avoiding internal voids in the large diameter silicon ingots, avoiding warpage during slicing, maintaining flatness during polishing, and avoiding further warpage during the etching and baking cycles. A related trend is the emergence of "fabless" chip-design studios-s-often called the IP model for the intellectual property thus created, These firms create specialized designs but then subcontract their fabrication work to external "foundries." There is currently much debate over the long-term competitiveness of such partnerships and the separation of product design and manufacturing. At first glance, it seems to be contrary to the concurrent engineering philosophy advocated throughout this book. However, it appears likely that semiconductor production in the United States willincreasingly be carried out by (a) on the one hand, large capital- intensive firms such as Intel, and (b) on the other hand, a flexible, changing network of R&D alliances among smaller entrepreneurial firms. And in these alliances, inte- grated design and manufacturing will persist across several companies that cover the spectrum from "IP to fab." As summarized by Macher and associates (1998), the fabless design studio is more a North American model with roughly 500 such com- panies in the United States in 1998,while the stare-or-the-art foundries that support them are located in Asia. The Taiwan Semiconductor Manufacturing Company (TSMC) is perhaps the most visible of these "foundries" (see <www.tsmc.com». A large, technologically aggressive, and financially strong semiconductor com- pany such as Intel can compete by investing heavily in its own R&D, participating in alliances with other companies, adding new capacity, and taking advantage of its market-leader position. The prospects for new firms seeking to break into the industry are much narrower. Even so, several new semiconductor ventures have managed to carve out market niches by designing and marketing technically Tungsten via Active 222 Semiconductor Manufacturing Chap. 5 advanced products. For a small company to identify and exploit a niche, it generally requires significant design advantages, easy access to fab capacity, and strong mar- keting capabilities. 5.13.6 "'Best Practices" The lesson of the 1980s that technological prowess alone is not enough-will con- tinue to apply to semiconductor manufacturing at any point in the future. During the "dark days" of the midM1980s, the U.S. semiconductor industry stayed afloat in large part because it had access to an unparalleled R&D infrastructure that produced a steady stream of technological breakthroughs and commercial applications. In a nut- shell, new designs kept the United States from dying altogether in the 1980s,but it took dramatic improvements in manufacturing to re-create the sustained growth of the 1990s (Macher et al., 1998). U.S. semiconductor firms still tend to be driven by an attitude in which each ~~K~:: :e~~;~e~~;::~~~~ ~e:i:T ~~ t~~~~s~~rf~::~~~:~n~~~:~s ~~:eo:~; daily newspapers and publications such as the Economist. Perhaps this strategy impresses Wall Street analysts and boosts stock value. But long-run profitability still depends on a company's ability to maintain high product quality and productivity and minimize time-to-market for these new inno- vations. In this regard, a study funded by the Sloan Foundation has identified several semiconductor manufacturing best practices (Leachman and Hodges, 1996). Exam- ples of best practices include: •Using quality control with "6 sigma" quality assurance • Integrating design, process planning, and production • Accelerating time-to-market with commercial applications of high-quality design innovations • Rapidly accommodating customer requests-that is,flexibility • Using alliances to build stronger supplier relations •Implementing inventory control and just-in-time systems to cut overhead • Improving machine throughput and usage Other industry observers (Spencer, 1998) note that the semiconductor industry's 18% compounded growth rate over the last three decades has been based on a combination of new technologies and best practices-for example, • Reduced feature sizes • Lithography improvements-moving from projection printing to steppers 6At the time of this writing, around the year 2000, the following are in the news:(a) silicon on insu- lator, (b) building dynamic RAM into separate trenches of the processor chip, (c) the use of silicon ger- manium in communication chips, and (d) vertical transistors with very small gate lengths. 5.14 Glossary 223 • Improvements in resolution that occurred from wet to dry etching • Improvements from ion beam implantation versus plain furnace diffusion •The quality assurance push of the late 1980s However, Spencer argues that these technologies and best practices have to some extent been "used up." One important area that does remain, in order to maintain the 18% growth rate, is the last of Leachman and Hodges's recommendations- machine throughput and utilization (Leachman et al., 1999).With a $2.5 billion fab, it is more important than ever to ensure that integrated design and manufacturing- not design alone-adds strategic value to a single company or several companies in an alliance. 5.'4 GLOSSARY 5.14.1 Active Regions of the MOSFET where the surface is doped to form the components of a transistor. 5.14.2 Application Specific Integrated Circuit (ASIC) ASICs are designed to suit a customer's particular requirement, as opposed to a DRAM or microcontroller, which are general-purpose parts. Examples include pro- grammable array logic devices, electrically programmable logic devices, field pro- grammable gate arrays (FPGAs), and fully customized IC designs. 5.14.3 Back End The manufacturing processes to test and package individual chips. 5.14.4 Bipolar A fast semiconductor device that is relatively power and space hungry. Bipolar has been mostly replaced by CMOS technology except in certain high-performance applications. 5.14.5 Bonding Pads The place where the bonding wires are attached, which eventually link. to an IC package. 5.14.6 Channel The region separating the source and drain of a field-effect transistor. 5.14.7 Central Processing Unit (CPU) The main microprocessor of a computer system. [...]... Texas Instruments Samsung Fujitsu 13.17 TABLE 29 10.58 -, -3 - 12 -21 -9 -26 -19 -20 24 -7 8.44 8.06 7.98 7.09 8.73 9.14 10.08 7.83 8.33 ' .20 5.54 4.51 5 .27 50S-Thomson Industry totals 1995 96 growth(%) 16.94 11.31 Mitsubishi 5.19 APPENDIX 2: Cost Model 8 64~Mb DRAM (Courtesy 1996 revenue ($B) 1995 revenue ($B) 4 .20 3.39 4 .20 151 .27 140.69 Variables in Vear 20 00-Example Dataquest) 5.10 Wafer Data and... 75*pi(AlZ) =J(DII) 18.00 "Z*IO"6)!E 24 2.00 6.81 K 120 .0 =L=1I(#*1)/3600) 0.08 M""(KIL)/I 6.00 =N=(J+M) 12. 81 =O= =2. 718"«(-H*G)*E) 73 =P==N*IOOfO 17.48 TABLE 5.11 Assemblv Data Material cost/sorted die + package cost Number of package pins Assembly yield (%) Cost per assembled die ($) ($) Q 0.48 R 44 S =T=(P+Q)/S*loo 90 19.95 Semiconductor 23 2 Manufacturing Chap 5 TABLE 5. 12 Final Test Data Test time u per... con- 22 9 5.15 References Jaeger, R C 1988 Introduction to microelectronic fabrication Reading, MA: Addison Wesley Kalpakjian, K M 1995 Fabrication of microelectronic devices In Manufacturing Engineering and Technology, edited by Serape Kalpakjian Reading, MA: Addison Wesley Leachman, R C.,and D.A Hodges 1996 Benchmarking semiconductor manufacturing. IEEE Transactions on Semiconductor Manufacturing 9 (2) :158-169... CoslperhoUfoftesling(S) V 120 .00 Test cost per die "'W=U"VI3600 2. 00 X 90 =Y=(T+W)IX*lOO 24 .39 =Z=(Y*O.Ol) 0 .24 =AA=Y+Z 24 .63 Final test yield ($) (%) Cost per final tested unit TABLE 5.13 Mark, ($) Pack, and (%) TOlal fabrication cost per unit Cost at 99 percent TABLE R&D S,G&A 5.14 Ship Data yield Foreign Market ($) Value (FMV) Formula Prolil(8percent) Constructed FMV 5 .20 REVIEW Adders =AB=O.15"'AA... junctions combined to form npn or pnp transistors Semiconductor Manufacturing 22 8 Chap 5 5.14.48 Via Vertical channel connecting different layers of metal 5.14.49 Wafer A thin slice, sawed from a cylindrical ingot of bulk semiconductor material (usually silicon) 4 to 12 inches in diameter Arrays of ICs are fabricated in/on the wafers during the manufacturing process 5.14.50 Well Most typically, wells are... choice, although copper is being considered for some applications 5.14 .27 Microcontroller A cheap and reasonably powerful specialized control system that uses a microprocessor Embedded in a system, a microcontroller performs a specific function, such as advancing the paper feed in a printer 22 8 Semiconductor Manufacturing Chap 5 5.14 .28 Microprocessor A single integrated circuit (IC) that combines logic... Miller Freeman, 1601 West 23 rd St., Lawrence, KS, 66046, IEEE Transactions on Semiconductor Manufacturing, 3 Park Avenue, New York, NY, 10016, - Semiconductor International, 8773 S Ridgeline Blvd., Highlands Ranch, co., 80 126 , 5.11 URLS OF INTEREST For design tools: 5.19 Appendix 5.18 23 1 2 APPENDIX 1: Worldwide... expense (15 percent) expense (10 percent) 3.70 2. 83 2. 49 33.66 MATERIAL 1 Calculate that the final cost of a packaged Power PC603 chip is $45.51 and that the packed cost of a SuperSPARCl60 chip is 318.31 Assume the 1996 data of Patterson and Hennessy (1996b) 2 What manufacturing this cost? improvements have occurred since 1996 that will lower CHAPTER COMPUTER MANUFACTURING 6.1 INTRODUCTION Chapter 5 reviewed...Semiconductor Manufacturing 22 Chap 5 5.14.8 Chemical Vapor Deposition (CVDI Process of using thermal reactions or breakdowns of gases to coat the substrate 5.14.9 Complementary Metal-Oxide Semiconductor ICMOS' A MOS technology in which both p-channel and n-channel components are fabricated on the same die to provide integrated circuits It is the dominant semiconductor manufacturing process... applied to a gate terminal 5.14 .21 Field Programmable Gate Array (FPGA) A complex programmable logic device configured to customer specifications These devices allow systems manufacturers to add value beyond the microprocessor 5.14 .22 Front End Fabrication processes dealing with creating the component It includes photolithography, doping, oxidation, and the like 5.14 .23 Gate The control electrode in . ($) Q R S =T=(P+Q)/S*loo 23 1 8 100.00 0.35 1650.00 155,494.00 1.00 18.00 0.111 24 2.00 6.81 120 .0 0.08 6.00 12. 81 73 17.48 0.48 44 90 19.95 companies 23 2 TABLE 5. 12 Final Test Data Semiconductor Manufacturing. growth(%) Intel 13.17 16.94 29 NEC 11.31 10.58 -, Motorola 8.73 8.44 -3 Hitachl 9.14 8.06 - 12 Toshiba 10.08 7.98 -21 Texas Instruments 7.83 7.09 -9 Samsung 8.33 ' .20 -26 Fujitsu 5.54 4.51 -19 Mitsubishi 5 .27 4 .20 -20 50S-Thomson. 8.33 ' .20 -26 Fujitsu 5.54 4.51 -19 Mitsubishi 5 .27 4 .20 -20 50S-Thomson 3.39 4 .20 24 Industry totals 151 .27 140.69 -7 5.19 APPENDIX 2: Cost Model Variables in Vear 20 00-Example for 8 64~Mb DRAM (Courtesy Dataquest) TABLE

Ngày đăng: 21/07/2014, 17:20

Xem thêm: 21st Century Manufacturing Episode 2 Part 2 doc

TÀI LIỆU CÙNG NGƯỜI DÙNG

  • Đang cập nhật ...

TÀI LIỆU LIÊN QUAN