21st Century Manufacturing Episode 1 Part 10 doc

20 281 0
21st Century Manufacturing Episode 1 Part 10 doc

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

174 Semiconductor Manufacturing Chap. 5 some companies-such as Intel, Compaq, Dell, and Gateway-have understood and exploited this new landscape,whereas other companies-such as Apple-have had more mixed success in recent years. 5.4 THE MICROELECTRONICS REVOLUTION The key to building faster, cheaper, smaller, and more powerful computers is to miniaturize electronic circuit components. Smaller devices have superior perform. ance characteristics: more components in a small area increase the circuit's energy efficiency and processing speed. The usual way to measure miniaturization is by the length, L G , of the polysilicon gate bridging the source and drain region of a tran- sistor. TIlls dimension is shown in later figures. A key component of an integrated circuit (IC) is the transistor.Transistors are the largest member of a family of solid-state devices called "semiconductors." They are built from a special class of materials with electrical properties somewhere between those of conductors and those in insulators. Pure semiconductor material exhibits high resistance, which can be lowered by adding small amounts of impuri- ties called "dopants." When fabricating an integrated circuit, the transistors, resistors, and capacitors, as well as their interconnections, are fabricated together-integrated-in a contin- uous substrate of semiconductor material. Active circuit elements are formed by doping selected regions of the material. Silicon is by far the most commonly used semiconductor substrate material because it has overall cost, performance, and pro- cessing advantages. With each new IC generation, device geometries have become smaller and 10; have become more powerful. In 1965, Gordon E. Moore, then with Fairchild Corpo- ration but later an Intel cofounder, observed an important trend that was later ele- vated to a "law" in the popular electronics press. He predicted that the number of transistors that could be integrated on a single die would grow exponentially with time, roughly doubling every 18 to 24 months. Moore correctly anticipated today's ICs, which can hold several millions of transistors on a chip, providing far more func- tionality (Figure 5.2).The reciprocal view is the dramatically lower cost for the same functionality. A log plot of "dollars per function" over time measured in years shows a linear decrease. In simplest form this means that any chip with a given functionality will be about half its original cost in 18 to 24 months. Producing miniaturized devices requires precise and sophisticated design and microfabrication. Computer aided design tools have significantly improved the pre- cision and level of complexity achievable in circuit layout planning. Automated process technologies, advanced clean room systems, and testing equipment have helped bring chip fabrication to submicron levels. The explosion in Ie applications is also producing a boom in advanced manufacturing equipment. It includes advanced lithography equipment, specialized ion-beam machines, chemical- 5.4 The Microelectronics Revolution 175 10' 10' 10' MOSFET <, 10' 10 Year F1pre 5.1 Trends in integrated circuit density (from Digitallntegrated Circuits by Rabaey, © 1996. Reprinted by permission of Prentice-Hall, Inc., Upper Saddle River,NJ). mechanical polishing equipment to achieve ultraflat surfaces, lasers, and high- vacuum systems. The semiconductor industry is currently focused on producing larger wafers and smaller process geometries. Larger wafers reduce raw material costs and increase chip processing outputs. Current state-of-the-art semiconductor manufac- turing systems produce 200 millimeter (8 inch) wafers with 0.25 to 0.35 micron line geometries. At the time of this writing, the major manufacturers are developing and beginning to use 0.13 to 0.18 micron processes. This will further accelerate the trend shown in Figure 5.2. During the time this book goes to press and gets published, some of the first 300 millimeter (12 inch) wafers will be in production. By the year 2010, the Semi- conductor Industry Association (1997) predicts the production of 0,03 micron line widths on 450 millimeter (18 inch) wafers. Quite simply, these larger wafers mean more chips per batch, which means lower processing costs per chip, Actually, this is not entirely new news. Henry Ford applied analogous principles to automobile man- ufacturing. For example, specialized tooling and more efficient transfer lines in Dear- born, Michigan, meant more cars per hour and lower processing costs per batch of cars.The comparison with wafer production is not exactly aligned, but in both cases, the simple economics are about spreading the fixed costs of the factory, the people, and the manufacturing equipment over a greater number of individual products (see Figure 5.3). Enhancement MOSFET/ Bipolar transistor ~~~:~[ MESFET 17. Semiconductor Manufacturing Chap. 5 19531962 Assuming a 10 mm x to mm chip and the maximum theoretical yield FigureS.3 Trends insilicon wafer diameter. 5.5 TRANSISTORS 5.5.1 Historical Background The earliest electronic computers used bulky vacuum tubes resembling short neon lights to create the rapid on/off electric switching that is necessary to perform binary computations and logical functions. In the 19408,it took thousands of vacuum tubes to create the famous computers that occupied several rooms. Not surprisingly, this was a rather costly and tedious way to go about building a calculating machine. In 1947, vacuum tube computing was rendered obsolete, ahnost overnight, by the transistor. Three Bell Labs scientists-William Shockley, Walter Brattain, and John Bardeen-are credited with a series of inventions that introduced, refined, and then commercially launched the transistor.' Their invention was smaller, faster, and cheaper; handled more complex operations; and generated less heat than its predecessor. The transistor could amplify electric signals moving through circuits embedded in a solid piece of semiconductor material. Transistors were thus called "solid-state" devices because electric current nows through a solid semiconductor rather than through a vacuum tube. IIbe importance of the vision of M. Kelly,Bell Labs' research director at the time, is also usually stressed. He understood that vacuum tubes were holding back the electronics industry and fostered an innovative research atmosphere to find an alternative. A popular anecdote is that if one of today's cell phones were made from vacuum tubes, the device would be as big as the Washington Monument in the District of Columbia. 5.5 Transistors 177 Transistor technology started the microelectronics revolution by making high- performance inexpensive electronics possible. Transistors showed up in a burgeoning array of electronic products-from rockets to portable radios-throughout the 1950s.Also, with fewer power, heat, and size constraints, computer designers could build faster, more reliable computers that occupied much less space. But properly connecting hundreds of transistors with thousands of other electric circuit compo- nents was an enormous design, manufacturing, and performance problem. The problems of interconnecting the discrete devices in computers were over- come with the invention of the integrated circuit in 1958 by Jack Kilby at Texas Instruments. This enabled the fabrication of circuit components and their intercon- nections on a single chip. Integrated circuits are classified into analog and digital. Analog integrated cir- cuits include a large family of circuits used in power electronics, instrumentation, telecommunications, and optics. Digital integrated circuits are usually classified into two types, memory and logic chips: • Memory chips consist of memory cells and associated circuits for address selec- tion and amplification. Process technologies are extremely well developed for 16 and 64 megabyte dynamic random access memories (DRAMs). DRAMs are inexpensive commodity products differentiated by speed, power con- sumption, configuration, and package type. From an integrated design and fab- rication viewpoint, specialty DRAMs and video RAMs are the more emerging technologies of interest. • Logic chips contain the circuits needed to petform arithmetic, logic, and con- trot functions central to the microprocessor. Application specific integrated circuits (ASles) are tailored to a customer's particular requirement, as opposed to one of the standard "Intel-inside" microprocessors. Rapid advances in Ie design and process technologies meant that chips could be made at commercially viable scales by the early 19608.Improvements in minia- turization technology permitted ever-increasing numbers of components to fit on smaller and smaller chips (Table 5.1). By 1971,a single integrated circuit (Ie) was built that combined logic functions, arithmetic functions, memory registers, and the ability to send and receive data. This device was called the microprocessor. It was used in many applications and spurred TABLE 5.1 Trends in Ie Integration Levels Integration scale Abbreviation Devices per chip Small scele mtegration Medium scale integration Large scale integration Very large scale integration Ultra large scale integration Future capabilities 55I MSI LSI VLSI ULSI 21050 50105,000 5,00010100,000 100,000 to 1,000,000 >1,000,000 >1,000,000,000 178 Semiconductor Manufacturing Chap. 5 the factory-floor robotics revolution of the late 19708 (see Figure 1.2). For the robotics industry, the microcorurolter was a cheap and reasonably powerful special- ized control system built around the microprocessor. Of course, the microprocessor also made possible the development of the microcomputer-or the personal com- puter (PC). 5.5.2 Semiconductors:". Type and ~ Type A semiconductor is a crystalline material (usually silicon) with electrical properties lying between conductors, such as aluminum and copper, and insulators, such asrubber and glass. Silicon crystallizes in a diamond-shaped lattice, with each atom surrounded by four other atoms in a tetrahedron. The atoms share valence electrons, which give each atom a complete valence shell. In its pure state, a semiconductor material exhibits relatively high electrical resistance. Adding controlled amounts of certain chemical impurities (dopanrs) to the crystal structure of the semiconductor lowers its resistivity and allows current to flow through the material. The atomic structure of the dopant determines whether the resulting material willbe "a-type" or "p-type." • n-type silicon is typically created by doping silicon with phosphorus. which has five electrons in its outer shell. In comparison with the four-electron silicon, this creates additional free electrons in the material, which readily move in response to a voltage. Since most of the conduction is carried by negatively charged electrons, the material is called n-type . •p-type silicon is typically created by doping silicon with boron. Boron has only three electrons in its outer shell. Since all the silicon atoms were nicely bal- anced with four electrons in their outer shell, the presence of the boron intruder creates additional vacancies, or "holes," in the material. These holes can be thought of as positive charges. Surrounding electrons can move in and fill this hole and, in doing so, leave behind another hole. The holes thus seem to move in a direction opposite to the electron flow.Since most of the conduc- tion occurs by way of the positively charged holes, the material iscalled p-type. Modifying the concentration of dopants controls the resulting change in semi- conductor conductivity. The process of doping semiconductor materials to selec- tively increase their conductivity is fundamental to the manufacture of advanced semiconductor devices because it makes possible the fabrication of basic circuit substructures. Silicon is the material of choice for microelectronics devices because of its numerous advantages. As one of the most abundant elements on the planet, silicon is cheap and readily available. It can be subjected to higher temperatures than ger- manium, the next most popular semiconductor resource. Silicon also has critical Pre- cessing advantages. It easily oxidizes to form silicon dioxide, an excellent insulator among circuit components. Silicon dioxide is also extremely useful during the fabri- cation process because it is an effective barrier layer during multiple doping opera- 2Strictly, each Si atom shares ita four electrons with irs neighbors, creating eight in the outer shells. 5.5 Transistors 17. tiona Gallium arsenide rather than silicon is increasingly used in optoelectronic and high-frequency communication devices. 5.5.3 The Transistor The region where p-type and a-type semiconductors meet forms a crucial structure known as a pn junction (Figure 5.4). A pn junction is basic to the operation of most electronic devices. For example, a diode is a pn junction that allows the flow of cur- rent in one direction and blocks it in the opposite direction. A bipolar junction tran- sistor (BIT) is made by sandwiching three different semiconductor slices into one solid block, such that the center slice is of one type and the two outer slices are of the opposite type. In effect, this creates two pn junctions. Depending on how the junc- tions are combined, the transistor is either"npn" or "pnp" (see Figure 5.5). In an npn transistor, electrons can flow from the emitter (n), across the base (P), to the collector (rl). More significantly, applying a voltage to the base vigorously rips electrons from the emitter and sends them rocketing across the base into the collector-s-in effect, amplifying the input current to the base. The stronger the voltage on the base, the stronger the resulting flow of current through the transistor. This amplification is more utilized in analog devices such as an electric guitar. For the ICs in computers, the primary function is the ultrafast switching ability for logic. Figure 5.5 shows a simple sandwichlike npn arrangement. By contrast, Figure 5.6 shows the horizontal layout of the field effect transistor (FET). The termi- nology of the npn transistor-emitter, base, and collector-is now changed to source, gate, and drain for the FET. To activate the transistor, voltage is applied to the polysilicon control gate (center of Figure 5.6). Electrons flow out of the source region (marked n +) through the channel (part of the p-type substrate) and into the drain (also marked n+). The amount of flow is precisely controlled by the voltage applied to the gate. For the n-type device (NMOS) a positive voltage is applied to the polysilicon gate'. The gate and the p-type substrate form the plates of a capac- itor with the gate oxide (Si0 2) as the dielectric of the capacitor. The reader is referred to a text such as Rabaey's (1996) for the relationship between the applied gate voltage and the current flow between the source and the drain. Metallurgical junction p-rype ••••• •• 1\•• ••••• 0.••• •••b P • ••••• ••••• • •• -Op. a-type Mobile holes Conduction electrons JlIpre SA Schematic structure of a pn semiconductor junction in a silicon substrate (dopanticreate boles or free electrons, B = boroa,P. pbolphorul) 180 Semiconductor Manufacturing Chap. 5 This transistor isoff ~- Smnljor nock-ctrouIlow out Eminer Base Collector This Iransistor is on vouage apphed ro base Elcrtron flow in Ermtter Collector flpre S.6 Basic structure of an a-type NMOS Ie (from Dtgital lmegmted Circuils by Rablley, <01996. Reprinted by permission of Prentice-Hell, Inc., Upper Saddle Rlver.Nj). 5.5.4 MOSFETs as the B••ic Building Block of the Integrated Circuit Metal-oxide semiconductors (MOSFETs) are one type offield effect transistor. They are the essential building blocks of integrated circuits. MOSFETs can be made either p- or n-type. The a-type devices (NMOS) are faster than p-type devices (PMOS). In practice, the most common type is complementary MOS-type (CMOS) circuits. In this case, a single circuit simultaneously controls pairs of n-type and p-type transis- tors. CMOS circuits are the most popular because of their integration-density and - Small or no etcctrou now If1 riddoxide (SiO:) Dra!n Gate Gilteo~id" p'ficldimpl:Jllt 5.5 Transistors 181 power-consumption efficencies (see review on P: 4 of Rabaey, 1996). The precise. high-speed switching of MOSFET devices allows transistors to carry out the rapid binary data processing that lies at the foundation of modem computing. 5.5.5 The NMOS Transistor Key terminology includes: • Substrates, which are p-type fur NMOS •Active transistor areas, which are n+ in NMOS • Polysilicon layers for the gate electrode •Select regions, or field implant regions, which are p + in NMOS •Field oxide regions of silicon dioxide (SiO z ) •Interconnect layers, usually of aluminum • Contact layers for interconnections between different layers • Wells, which are a-type within a p-type substrate for CMOS transistors The basic structure of an Ie depends on the specific transistor technology used. In MOS-based chips, source and drain regions are formed by selectively "doping" por- tions of ap-type or a-type substrate surface to the opposite type ofmaterial. The NMOS device is made up of n + source/drain. these n + areas arise from the selective doping of desired regions in a p-type substrate. The conductive gate is made with a thin film of polycrystalline silicon (usually referred to as polysilicon).Comparatively thick layers of silicon dioxide, called the field oxide, and highly doped field implants (select regions of p + in NMOS) insulate neighboring n + areas. Aluminum layers provide the intercon- nections among circuits. Copper willincreasingly be used for this purpose. 5.5.6 The CMOS Circuit The complementary MOS process is preferred over basic NMOS because it leads to the creation of more circuits on a chip.This is shown in Figure 5.7.The process starts with a p-substrate, which will eventually be doped in certain areas for n + type transistors (on the left). A mask is used early on in the process to define many addi- tional n-weIJs-shown on the right-which will then contein p" transistors. e-well 182 Semiconductor Manufacturing Chap. 5 5.8 DESIGN The design of integrated circuits-say, for the embedded systems in cell phones, PDAs, and cameras-is outside the scope of this book. However, typical design levels for such devices are shown in Figures 5.8 and 5.9. In Figure 5.8,8 hierarchy is shown that breaks down a simple IC's description into several levels of abstraction. These include: • The defined global function of the device. • Subfunctions, which must coordinate with the global goal. Therefore iterative high-level simulations are needed. These iterations are indicated by the feed- back loop at the top of the diagram. • The assembly of these subfunctions into cells or functional blocks. •The creation of specifictransistor and circuit layouts that deliver the performance of the desired functional blocks whilestillbeing manufacturable in astandard "feb," Figure 5.9 is similar but for a more complex device such as a wireless net- worked computer or a wireless PDA. Such a device needs three main divisions (shown in three columns) of the design abstraction for (a) analog data processing, (b) digital data processing, and (c) protocols and control (see http://bwrc.eecs. berkeley.edu). Some common development tools from Figure 5.9 are listed in Table 5.2.For one of these complex devices, with more than a million transistors, today's Ie designers target the gate level netlist description in the fifth row of the Figure 5.8 Design flow,typical of the early 199Os,fora simple device. Define function Partition design High-level simulation Assemblellayout functional blocks Layout rules Low-level simulation of new blocks Device performance files Check for layout rule violation GeneratePG (mask) file Mask fabrication 5.6 Design 183 The Destgu Euvironment TABlE 5.2 Design Flow, Typical for Today's More Complex Devleas Shown in Figure 5,9. The Table Was Prepared with the Help of Ahatt Davis, Level of design Company (toolin parentheses) Functional specifications Register transfer level (RTL) coding and bebavioralsimulation Logicsyntbesis Floor planning Placement and route Matbworks (Matlab, Simulink); Cadence (SPW,VCC) Synopsys (VSS); Cadence (Verilog-XL); Mentor Gmphics (VHDL simulator) Synopsys (Design Complier; Module Compiler; Behavior Compiler) Synopsys Synopsys (VSS); Cadence (Verilog-XL); Mentor Graphics (VHDL) Cadence (Design Planner, Pillar);Avanl! (Apollo) Cadence (Silicon Ensemble, IC Craftsman) Test Insertion and automated test pattern generation Gate level netlist simulation - Ana4ogd.~ pr~ l';lptocolsllM _hol [...]... nwnber of particles per cubic foot in the space (Table 5.3) Reducing dust and other variables is essential to avoid contaminating the chip's circuitry and lowering chip yields TABLE 5.3 Trends "Class" of clean in Class Ratings for Clean NumberofO panicles Rooms a rnrcron per cubic foot Number particles of 0.5 micron per cubic Class 10 ,000 10 ,000 Class 1, 000 1. 000 35,000 Class 10 0 10 0 3,500 10 350 35... 10 0 10 0 3,500 10 350 35 crass tu O ~1 1 350,000 meter 5.8 Semiconductor Manufacturing 18 5 II; NMOS rng~ c~","c5xnL ~ growth Wrl'~"h:~;s,~ ~ -="@;'L p ' ~ and polish Dope Oxidize, diffuse implant, evaporate, \ Pattern Wafer test . capabilities 55I MSI LSI VLSI ULSI 210 50 5 010 5,000 5,00 010 100,000 10 0,000 to 1, 000,000 > ;1, 000,000 > ;1, 000,000,000 17 8 Semiconductor Manufacturing Chap. 5 the factory-floor robotics revolution of the late 19 708 (see. 0.5 micron particles per cubic meter Class 10 ,000 Class 1, 000 Class 10 0 crass tu O ~1 10, 000 1. 000 10 0 10 1 350,000 35,000 3,500 350 35 "Class" of clean 5.8 Semiconductor Manufacturing. manufacturing equipment. It includes advanced lithography equipment, specialized ion-beam machines, chemical- 5.4 The Microelectronics Revolution 17 5 10 ' 10 ' 10 ' MOSFET <, 10 ' 10 Year F1pre

Ngày đăng: 21/07/2014, 17:20

Tài liệu cùng người dùng

Tài liệu liên quan