1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Giáo trình ngôn ngữ mô tả phần cứng verilog đh quốc gia tp hcm

219 1 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

ĐẠI HỌC QUỐC GIA THÀNH PHỐ HỒ CHÍ MINH TRƯỜNG ĐẠI HỌC CÔNG NGHỆ THÔNG TIN oOo Giáo trình NGƠN NGỮ MƠ TẢ PHẦN CỨNG VERILOG Biên soạn: TS Vũ Đức Lung ThS Lâm Đức Khải Ks Phan Đình Duy 2012 Giáo trình ngơn ngữ Verilog HDL Lời nói đầu Ngày nay, mạch thiết kế với hàng triệu cổng logic tích hợp Chip việc thiết kế mạch dây kết nối tay trở nên bất khả thi, từ lí khái niệm ngơn ngữ có mức độ trừu tượng cao dùng để mô tả thiết kế phần cứng đời, Verilog Cùng với đời ngôn ngữ mô tả phần cứng Verilog hàng loạt công cụ EDA (Electronic Design Automation) CAD (Computer Aided Design) giúp cho kĩ sư thiết kế phần cứng tạo nên Chip có độ tích hợp cao, tốc độ siêu việt chức đa dạng Giáo trình Ngôn ngữ mô tả phần cứng Verilog nhằm giúp sinh viên trang bị kiến thức thiết kế vi mạch Giáo trình tập trung vào mảng thiết kế mạch số với mạch tổ hợp mạch Giáo trình giới thiệu bước cần thực q trình thiết kế vi mạch từ việc mơ tả thiết kế, kiểm tra, phân tích tổng hợp phần cứng thiết kế Giáo trình Ngơn ngữ mô tả phần cứng Verilog dùng cho sinh viên chuyên ngành Kĩ thuật máy tính sinh viên khối Điện tử Để tiếp nhận kiến thức dễ dàng, sinh viên cần trang bị trước kiến thức thiết kế số hệ thống số Giáo trình biên dịch tổng hợp từ kinh nghiệm nghiên cứu giảng dạy tác giả ba nguồn tài liệu chính:  IEEE Standard for Verilog Hardware Description Language, 2006;  Verilog Digital System Design, Second Edition, McGraw-Hill;  The Complete Verilog Book, Vivek Sagdeo, Sun Micro System, Inc Nhằm cung cấp luồng kiến thức mạch lạc, giáo trình chia làm chương:  Chương 1: Dẫn nhập thiết kế hệ thống số với Verilog Chương giới thiệu lịch sử phát triển ngôn ngữ mô tả phần cứng Verilog, bên cạnh qui trình thiết kế vi mạch sử dụng ngôn ngữ mô tả phần cứng Verilog trình bày cụ thể  Chương 2: Trình bày từ khóa sử dụng môi trường mô tả thiết kế Verilog  Chương 3: Trình bày loại liệu sử dụng thiết kế mạch Verilog, gồm hai loại liệu loại liệu net loại liệu biến  Chương 4: Trình bày toán tử dạng biểu thức hỗ trợ Verilog  Chương 5: Giới thiệu cấu trúc thiết kế, phương thức sử dụng thiết kế  Chương 6: Trình bày phương pháp thiết kế sử dụng mơ hình cấu trúc, phương [Type text] Page Giáo trình ngơn ngữ Verilog HDL thức này, module thiết kế xây dựng cách gọi module thiết kế nhỏ kết nối chúng lại Chương 7: Trình bày phương thức thiết kế sử dụng mơ hình RTL phép gán nối tiếp  mơ hình hành vi sử dụng ngơn ngữ có tính trừu tượng cao tương tự ngơn ngữ lập trình Phần thiết kế máy trạng thái sử dụng mơ hình hành vi nêu chương  Chương 8: Trình bày phương pháp thiết kế sử dụng tác vụ hàm  Chương 9: Giới thiệu phương pháp kiểm tra chức thiết kế Do thời gian khối lượng trình bày giáo trình khơng cho phép tác giả sâu khía cạnh thiết kế vi mạch phân tích định thời, tổng hợp phần cứng, Để có kiến thức này, độc giả tham khảo tài liệu tham khảo mà giáo trình cung cấp Mặc dù nhóm tác giả cố gắng biên soạn kỹ lưỡng nhiên khó tránh khỏi thiếu sót Nhóm tác giả mong nhận đóng góp mang tính xây dựng từ q độc giả nhằm chỉnh sửa giáo trình hồn thiện Nhóm tác giả [Type text] Page Giáo trình ngơn ngữ Verilog HDL Contents Lời nói đầu Chương Dẫn nhập thiết kế hệ thống số với Verilog .13 1.1 Qui trình thiết kế số 13 1.1.1 Dẫn nhập thiết kế 15 1.1.2 Testbench Verilog 16 1.1.3 Đánh giá thiết kế 16 1.1.3.1 Mô 16 1.1.3.2 Kĩ thuật chèn kiểm tra (assertion) 18 1.1.3.3 Kiểm tra thông thường 19 1.1.4 Biên dịch tổng hợp thiết kế 19 1.1.4.1 Phân tích .20 1.1.4.2 Tạo phần cứng 20 1.1.4.3 Tối ưu logic 20 1.1.4.4 Binding 21 1.1.4.5 Sắp xếp cell dây kết nối .21 1.1.5 Mô sau tổng hợp thiết kế 22 1.1.6 Phân tích thời gian .23 1.1.7 Tạo linh kiện phần cứng 23 1.2 Ngôn ngữ mô tả phần cứng Verilog (Verilog HDL) 23 1.2.1 Quá trình phát triển Verilog 23 1.2.2 Những đặc tính Verilog .24 1.2.2.1 Mức độ chuyển mạch 24 1.2.2.2 Mức độ cổng 24 1.2.2.3 Độ trì hỗn pin đến pin 25 1.2.2.4 Mô tả Bus 25 1.2.2.5 Mức độ hành vi 25 1.2.2.6 Những tiện ích hệ thống .25 1.2.2.7 PLI 25 1.2.3 Sơ lược Verilog .25 1.3 Tổng kết 26 [Type text] Page Giáo trình ngơn ngữ Verilog HDL 1.4 Bài tập 26 Chương Qui ước từ khóa 28 2.1 Khoảng trắng .28 2.2 Chú thích 28 2.3 Toán tử .28 2.4 Số học 28 2.4.1 Hằng số nguyên 29 2.4.2 Hằng số thực 32 2.4.3 Số đảo 33 2.5 Chuỗi 33 2.5.1.1 Khai báo biến chuỗi 33 2.5.1.2 Xử lí chuỗi .34 2.5.1.3 Những kí tự đặc biệt chuỗi 34 2.6 Định danh, từ khóa tên hệ thống 34 2.6.1 Định danh với kí tự “\” 35 2.6.2 Tác vụ hệ thống hàm hệ thống .35 2.7 Bài tập 36 Chương Loại liệu Verilog 70 3.1 Khái quát 70 3.2 Những hệ thống giá trị 70 3.3 Khai báo loại liệu 71 3.3.1 Giới thiệu 71 3.4 Khai báo loại liệu net 72 3.4.1 Giới thiệu 72 3.4.2 Wire Tri 73 3.4.3 Wired net 75 3.4.3.1 Wand triand Nets 75 3.4.3.2 Wor Tri or 75 3.4.4 Tri reg net 76 [Type text] Page Giáo trình ngơn ngữ Verilog HDL 3.4.5 Tri Tri Nets 77 3.4.6 Supply0 Supply1 Nets 77 3.4.7 Thời gian trì hỗn net 77 3.5 Khai báo loại liệu biến - reg 79 3.6 Khai báo port .79 3.6.1 Giới thiệu 79 3.6.2 input .79 3.6.3 output 79 3.6.4 inout .80 3.7 Khai báo mảng phần tử nhớ hai chiều 80 3.7.1 Giới thiệu 80 3.7.2 Mảng net 81 3.7.3 Mảng ghi 81 3.7.4 Mảng phần tử nhớ 81 3.8 Khai báo loại liệu biến .82 3.8.1 Giới thiệu 83 3.8.2 Integer 83 3.8.3 Time .83 3.8.4 Số thực (real) thời gian thực (realtime) 83 3.9 Khai báo tham số 84 3.9.1 Giới thiệu 84 3.9.2 Tham số module (module parameter) 85 3.9.2.1 Parameter 85 3.9.2.1.1 Giới thiệu .85 3.9.2.1.2 Thay đổi giá trị tham số khai báo parameter 85 3.9.2.1.2.1 Phát biểu defparam 86 3.9.2.1.2.2 Phép gán giá trị tham số gọi instance module 87 3.9.2.1.3 Sự phụ thuộc tham số 91 3.9.2.2 Tham số cục (local parameter) 92 [Type text] Page Giáo trình ngơn ngữ Verilog HDL 3.9.3 Tham số đặc tả (specify parameter) 92 3.10 Bài tập 94 Chương Toán tử, Toán hạng Biểu thức .95 4.1 Biểu thức giá trị số 95 4.2 Toán tử .96 4.2.1 Toán tử với toán hạng số thực 96 4.2.2 Toán tử ưu tiên 97 4.2.3 Sử dụng số nguyên biểu thức 98 4.2.4 Thứ tự tính tốn biểu thức 99 4.2.5 Toán tử số học (+, -, *, /, %, **, +, -) 99 4.2.6 Biểu thức số học với tập ghi (regs) số nguyên (integer) 101 4.2.7 Toán tử quan hệ (>, =, >, >,

Ngày đăng: 10/12/2022, 13:21