1. Trang chủ
  2. » Luận Văn - Báo Cáo

Tồng quan về board Altera DE2-115

86 7 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Thông tin cơ bản

Định dạng
Số trang 86
Dung lượng 2,33 MB

Nội dung

PH N 1: T NG QUAN I Gi i thi u board ALTERA DE2-115 Tồng quan board Altera DE2-115 Board Altera DE2 board mạch phục vụ cho việc nghiên c u phát triển lĩnh vực luận lý số học (digital logic), tổ ch c máy tính (computer organization) FPGA DE2-115 sử dụng cơng nghệ phần c ng lẫn công cụ CAD (computer Aid Design) để giúp không sinh viên nghiên c u nhiều ng dụng khác Board cung cấp nhiều đặc điểm phù hợp cho công tác nghiên c u phát triển Hệ thống số đơn giản lẫn ph c tạp phòng thực hành Đặc điểm board Altera DE2-115 Dưới hình ảnh board Altera DE2-115 Hình 2.1 Board DE2-115 Trang 13 Tất giá trị thông số c a thiết bị board Altera DE2-115 tham khảo tại: http://www.alldatasheet.com/view.jsp?Searchword=DE2-115 Nguyên tắc hoạt động linh kiện board Nút nh n (Button) Kit DE2 cung cấp nút nhấn, trạng thái bình thư ng cung cấp m c logic cao (logic 1) không nhấn, cung cấp m c logic thấp (logic 0) nhấn Hình 2.2 Sơ đồ kết nối push button Cyclone IV Công t c (Switch) Kit DE2-115 cung cấp thêm 18 công tắc hoạt động công tắc điện Khi cơng tắc vị trí thấp (gần với cạnh c a board) cơng tắc cung cấp m c logic thấp (logic 0) cung cấp m c logic cao (logic 1) công tắc vị trí cao Hình 2.3 Kết nối switch Cyclone IV Ngồi board có 18 LED đỏ LED xanh cho ngư i dùng sử dụng, LED kết nối trực tiếp với chân c a Cyclone IV E FPGA Để điều khiển Trang 14 LED chân c a FPGA tương ng với LED đưa m c logic cao để bật LED sáng đưa m c logic thấp để tắt LED Hình 2.4 Kết nối LED Cyclone IV LED đo n Có LED đoạn board, LED đoạn kết nối sơ đồ Các LED đoạn loại anode chung, áp dụng m c logic thấp cho đoạn đoạn sáng lên, ngược lại áp dụng m c logic cao làm đoạn bị tắt Mỗi đoạn LED đoạn độc lập với b i số từ đến Hình 2.5 LED đoạn nối với Cyclone IV PS/2 Serial Port Board Altera DE2-115 bao gồm chuẩn giao tiếp PS/2 cổng kết nối với chuột bàn phím PS/2 (Hình 2.6a) Chúng ta sử dụng bàn phím chuột lúc cách sử dụng cáp m rộng PS/2 (Hình 2.6b) Hình 2.6a Sơ đồ kết nối PS/2 board DE2-115 Trang 15 Hình 2.6b Cáp m rộng PS/2Y Module VGA Board DE-115 bao gồm chuẩn kết nối 15-pin D-SUB cho đầu VGA.Các tín hiệu đồng hóa VGA cung cấp trực tiếp từ Cyclone IV E FPGA thiết bị Analog Devices ADV7123 triple 10 – bit high speed video DAC (hệ thống thiết kế sử dụng tối đa bit) sử dụng dể tạo tín hiệu liệu tương tự (đỏ, xanh màu xanh) Nó hỗ trợ cho chuẩn SXGA (1280x1024) với băng thơng 100MHz Hình 2.7 Sơ đồ kết nối VGA board DE2-115 SRAM/SDRAM/FLASH/EEPROM/SD card  SRAM Board Altera DE-115 sử dụng nhớ 2MB SRAM với độ rộng liệu 16-bit, sử dụng với tần số hoạt động lớn khoảng 125 MHz điều kiện nguồn nuôi 3,3 tiêu chuẩ, Bộ nhớ SRAM kết nối với chip Cyclone IV FPGA Hình 2.8 Sơ đồ kết nối SRAM board DE2-115 Trang 16  SDRAM Board sử dụng 128MB SDRAM, bao gồm chip SDRAM 64 MB Mỗi chip SDRAM giao tiếp với chip FPGA thông qua đư ng liệu 16 bit riêng biệt chia chung đư ng điều khiển địa Hình 2.9 Sơ đồ kết nối SDRAM board5 DE2-11  FLASH Hình 2.10 Sơ đồ kết nối FLASH board DE2-115 Bộ nhớ Flash board có dung lượng 8MB sữ dụng bus liệu 8-bit sử dụng chuẩn tín hiệu 3.3 V CMOS B i nhớ Flash nhớ khơng bay hơi, nên thư ng sử dụng để lưu trữ liệu chương trình, hình ảnh âm thanh,…  EEPROM Board Altera DE2-115 có 32 kb EEPROM với lợi ích c a bus 12C, ngư i dùng sử dụng nơi lưu trữ liệu ngư i dùng thông tin phiên bản, địa MAC mô tả khác Địa cấu hình cho EEPROM 0xA0 cho viết liệu 0xA1 để đọc liệu Trang 17 Hình 2.11 Sơ đồ kết nối EEPROM board DE2-115  SD Card Nhiều ng dụng sử dụng nhớ ngồi có dung lượng lớn thẻ SD thẻ CF đễ luu trữ liệu Board Altera DE2-115 cung cấp phần c ng cần thiết cho việc giao tiếp với thẻ SD Ngư i dùng tùy chỉnh điều khiển việc truy cập thẻ SD chế độ SPI chế độ SD card 4-bit 1-bit mode Hình 2.12 Sơ đồ kết nối SD card board DE2-115 II Gi i thi u v ph n m m Quartus II 11.0 Tóm tắc thiết kế thực ứng dụng với Quartus II 11.0 Các bước cần thiết để thiết kế thực ng dụng Board Altera DE2 -115 phần mềm Quartus II 11.0 mơ tả tóm tắt bên Các bước theo th tự bao gồm:  Kh i tạo project: Tạo project, đặc tả cấu hình c a project như: thư mục gốc, ngôn ngữ mô tả phần c ng Verilog HDL, loại chip FPGA sử dụng, công cụ hỗ trợ  Viết module đặc tả verilog HDL: Đặc tả thiết kế sử dụng ngôn ngữ Verilog HDL  Gán tín hiệu IN, OUT: Gán chân tín hiệu IN, OUT c a module vừa thiết kế với chân tín hiệu chip FPGA Trang 18  Tổng hợp mạch: Tổng hợp thiết kế, ánh xạ sang phần tử phần c ng (Logic cell, Block memory) dây mạch chip FPGA Kết thúc trình tạo file cấu hình (.sof, pof)  Cấu hình chip FPGA: Nạp file cấu hình tổng hợp bước lên chip FPGA  Kiểm tra ch c board: Sử dụng thiết bị trực quan (công tắc, nút nhấn, LED ) board để kiểm tra thiết kế Hình 2.13 Các bước thiết kế thực ng dụng với Quartus II 11.0 Trang 19 TH C HÀNH TRÊN BOARD DE2 I BÀI - H NG DẪN S D NG QUARTUS II 11.0 VÀ MODELSIM Ph n m m Quartus II 11.0 + Bước 1: cài đặt phần mềm theo hướng dẫn, chạy file cài đặt đồng ý điều khoản Hình 4.1.1 Chọn gói cài đặt + Bước 2: chọn Next qua phần hướng dẫn, chọn gói phần mềm: Quartus II, Modem Sim- Altera Edition, Nios II Embedded Design Suite (Hình 4.1.1) + Bước 3: Chọn Next để tiếp tục Finish để hoàn thành Sau cài đặt chương trình thành cơng, ta bắt đầu tạo project biên dịch chương trình theo bước bên + Bước 1: M cửa sổ Quartus II 11.0 sp1 Hình 4.1.2 Trang 20 Desktop thấy cửa sổ Hình 4.1.2 M chương trình Quartus Chọn Create a New Project công cụ vào File  New Project Wizard Hình 4.1.3 Cửa sổ Quartus Hình 4.1.4 Tạo Project + Bước 2: chọn Next qua phần hướng dẫn đến chọn nơi lưu, đặt tên project thư mục Trang 21 Hình 4.1.5 Chọn nơi lưu đặt tên Tiếp theo chọn Next xuất bảng tóm tắt hình Hình 4.1.6 Chọn IC + Bước 3: Tùy theo board sử dụng để thiết kế hệ thống nhúng, nên chọn thiết bị cho phù hợp Chúng ta sử dụng board Altera DE2-115 theo hướng dẫn chọn Cyclone IV E EP4CE115F29C7 Chú ý: nên thực kỹ bước này, sai phải thực lại từ đầu Nhấn Next Finish để kết thúc phần tạo project Khi hoàn thành bước bây gi tạo file viết chương trình gán chân cho hệ thống + Bước 4: Từ cửa sổ project Quartus chọn New  Verilog HDL lưu lại với tên phải trùng với tên module Có thể tham khảo chương trình sau đây: Trang 22 kq=kq+temp[1]*2; kq=kq+temp[2]*4; kq=kq+temp[3]*8; kq=kq+temp[4]*16; kq=kq+temp[5]*32; kq=kq+temp[6]*64; kq=kq+temp[7]*128; num=temp; if (num>=100) begin tr=num/100; ch=(num%100)/10; dv=(num%100)%10; end else if (num>=10) begin tr=0; ch=num/10; dv=num%10; end else if (num>=0) begin tr=0; ch=0; dv=num; end if (so2===1'h0) begin num=0; end end endmodule module SEG7_2(num,seg7); input [3:0]num; output reg [6:0] seg7; integer num_temp; always@(num) begin num_temp=num; case (num_temp) 0: seg7 = 7'b1000000; 1: seg7 = 7'b1111001; Trang 84 2: seg7 3: seg7 4: seg7 5: seg7 6: seg7 7: seg7 8: seg7 9: seg7 endcase = = = = = = = = 7'b0100100; 7'b0110000; 7'b0011001; 7'b0010010; 7'b0000010; 7'b1111000; 7'b0000000; 7'b0011000; end endmodule  Dịch phải module DICHPHAI(data_out,data_in_LED,load_LED, ngovao_chophep_LED, reset, clk, load, ngovao_chophep data_in); input reset; //cho phep KEY input clk; //xung KEY input load; //load du lieu SW16 input ngovao_chophep; //SW17 cho phep ngo vao input [7:0] data_in; //SW ngo vao output [7:0] data_out; //du lieu LED xanh output [7:0] data_in_LED; //hien thi ngo vao output load_LED; //SW LED output ngovao_chophep_LED; //key LED wire [7:0] data_in; //du lieu ngo vao sw0 den sw7 reg [7:0] data_out; //du lieu ngo wire [7:0] data_in_LED; //du lieu ngo hien thi len LED xanh wire load_LED; // load du lieu len sw16 wire ngovao_chophep_LED; always @(posedge clk or negedge reset) //key 0= clk,key1=reset begin if(!reset) data_out = 8'b0; else if(load) data_out = data_in; else begin integer i; for (i=7;i>0;i=i-1) begin data_out[i-1]

Ngày đăng: 07/12/2022, 15:35

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w