1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Thiết kế bộ giải mã nhị phân 16 bit ra

16 1 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Nội dung

MỤC LỤC LỜI NÓI ĐẦU PHẦN I: THIẾT KẾ CHÍNH I LÝ THUYẾT Khái niệm chức mạch giải mã Sơ đồ mạch khối II THIẾT KẾ MẠCH GIẢI MÃ NHỊ PHÂN Phân tích yêu cầu đề Bảng trạng thái PHẦN II: MÔ PHỎNG 11 I DÙNG IC 74LS138 11 Giới thiệu IC 74LS138 11 Tiến hành mô dùng IC 74LS138 12 II Dùng IC 74LS154 13 Giới thiệu IC74LS154 13 Tiến hành mô dùng IC 74154 14 Kết luận 14 Tài liệu tham khảo 16 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com LỜI NÓI ĐẦU Ngày nay, người với ứng dụng khoa học kỹ thuật tiên tiến giới, ngày thay đổi, văn minh đại Sự phát triển kỹ thuật điện tử tạo hàng loạt thiết bị với đặc điểm bật xác cao, tốc độ nhanh, gọn nhẹ…là yếu tố cần thiết góp phần cho hoạt động người đạt hiệu ngày cao Điện tử trở thành ngành khoa học đa nhiệm vụ Điện tử đáp ứng đòi hỏi không ngừng ngành, lĩnh vực khác nhu cầu thiết yếu người sống hàng ngày Trong hệ thống số kể viễn thơng, máy tính; đường điều khiển tuỳ chọn hay liệu truyền hay xử lí phải dạng số hệ gồm 0; có nhiều đường tín hiệu có bit đường điều khiển mở nguồn cho mạch mức 1; có nhiều đường địa nhiều bit chẳng hạn 110100 để CPU xác định địa nhớ; liệu dạng hex gửi xuống máy in cho in kí tự Tất tổ hợp bit gọi mã số (code) hay mã Và mạch tạo mã số gọi mạch mã hoá (lập mã: encoder) Tuy nhiên, để dạng mã hóa người hiểu Hãy tưởng tượng hình máy tính bạn hiển thị lên số liệu bạn hiểu thông tin đố không Xuất phát từ yêu cầu đó, chúng em nhận tập lớn tìm hiểu về: “ Thiết kế giải mã nhị phân 16 bit ra” Do thời gian kiến thức hạn chế nên làm chúng em khơng thể tránh khỏi thiếu sót, chúng em mong thầy mơn góp ý để tập em hồn thiện Trong q trình làm tập em bảo nhiệt tình thầy cô khoa Công nghệ tự động trường đại học điện lực, đặc biệt thầy Nguyễn Ngọc Khoát trực tiếp hướng dẫn chúng em lớp Qua em xin gửi lời cảm ơn chân thành đến thầy cô khoa Công nghệ tự động thầy Nguyễn Ngọc Khốt hướng dẫn cho chúng em hồn thành tập LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com PHẦN I: THIẾT KẾ CHÍNH I LÝ THUYẾT Khái niệm chức mạch giải mã Mạch giải mã mạch có chức ngược lại với mạch mã hố tức có mã số áp vào ngõ vào tương ứng có ngõ tác động, mã ngõ vào thường mã ngõ Tất nhiên ngõ vào cho phép phải bật lên cho chức giải mã Mạch giải mã ứng dụng ghép kênh liệu, hiển thị led đoạn, giải mã địa nhớ Hình sơ đồ khối mạch giải mã Hình 1: Sơ đồ khối trình hiển thị thơng tin Chức khối - Khối nguồn: cung cấp nguồn điên cho mạch hoạt động Nguồn điện áp chiều 5V,ta sử dụng IC 7805 ổ áp cung cấp mức điện áp cho toàn mạch +12vol +5vol.Hoặc dùng sạc điện thoại để cung cấp nguồn cho mạch - Khối điều khiển:là khối điều khiển hoạt động toàn mạch - Khối mã hóa: có ác dụng chuyển tín hiệu đầu vào sang dạng nhị phân - Khối giải mã: ta dùng IC 74ls154 ghép nối IC 74ls138: Đây IC giải mã đầu vào → 16 đầu chuyển từ mã nhị phân sang số tương ứng hiển thị hình - Khối hiển thị: hình hiển thị tín hiệu vừa giải mã Sơ đồ mạch khối a) Sơ đồ mạch khối nguồn LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com - Khối nguồn lấy nguồn AC_ 220V từ điện lưới gia đình, dùng biến hạ áp xuống 12Vol_AC, qua cầu chỉnh lưu DC_ 12V, dùng IC 7805 ổ áp cung cấp mức điện áp cho toàn mạch +12vol +5vol Hình 2: Sơ đồ mạch khối nguồn Hoặc ta lấy trực tiếp sạc điện thoại dùng làm nguồn cho mạch b) Sơ đồ mạch khối mã hóa Hình 3: Sơ đồ khối khối mã hóa LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com c) Sơ đồ khối mạch giải mã Hình 4: Sơ đồ khối mạch giải mã II THIẾT KẾ MẠCH GIẢI MÃ NHỊ PHÂN Phân tích yêu cầu đề - Đầu vào: n n - Đầu ra: =16  Đầu vào n = Hình 5: Sơ đồ khối mạch giải mã 4-16 Trong đó: , , , ngõ tín hiệu đầu vào , ,…., tín hiệu đầu Bảng trạng thái Nguyên tắc: - Ứng với tổ hợp biến đầu vào có đầu tích cực - Nếu đầu tích cực tất đầu cịn lại khơng phép tích cực LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Mạch giải mã nhị phân thực biến đổi tín hiệu ngõ vào từ dạng mã nhị phân sang dạng mã thập phân ngõ tương ứng, cụ thể sau: →0000 1→0001 2→0010 3→0011 →0100 5→0101 6→0110 7→0111 9→1001 10→1010 11→1011 →1000 12→1100 13→1101 14→1110 15→1111 a) Trường hợp 1: Mức tác động (tích cực) ngõ vào mức (tích cực mức cao) Ta có bảng trạng thái mô tả hoạt động mạch: Biến đầu vào x3 x2 x1 x0 0 0 0 0 0 1 0 1 1 0 1 1 0 0 1 1 1 1 0 1 1 1 1 1 Biến đầu (y) 15 14 13 12 11 10 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 Bảng 1: Bảng trạng thái mức tích cực cao Giải thích bảng trạng thái: Khi xuất tín hiệu ngõ vào ngõ xuất mã tương ứng trạng thái tích cực ( mức logic 1) ngõ cịn lại khơng tích cực (mức logic 0) Cụ thể là: ngõ vào 0000 mã ngõ xuất y = 1, ngõ lại Khi ngõ vào 0001 0 0 0 0 0 0 0 ngõ xuất y1 = 1, ngõ lại 0… LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Phương trình logic tối giản: y0  x0 x1 x2 x3 y1  x0 x1 x2 x3 y2  x0 x1 x2 x3 y3  x0 x1 x2 x3 y4  x0 x1 x2 x3 y5  x0 x1 x2 x3 y6  x0 x1 x2 x3 y7  x0 x1 x2 x3 y8  x0 x1 x2 x3 y9  x0 x1 x2 x3 y10  x0 x1 x2 x3 y11  x0 x1 x2 x3 y12  x0 x1 x2 x3 y13  x0 x1 x2 x3 y14  x0 x1 x2 x3 y15  x0 x1 x2 x3 Vẽ mạch logic: - Dùng NAND Hình 6:Mạch logic tích cực mức cao dùng NAND LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com - Dùng NOR Hình 7: Mạch logic tích cực mức cao dùng NOR b) Trường hợp 2: Mức tác động (tích cực) ngõ vào mức (tích cực mức thấp) Đối với mạch giải mã có mức tác động ngõ vào mức 0, ta tiến hành làm bước mạch giải mã có mức tác động mức cao Có điểm khác là: Ở mức tích cực mức thấp xuất tín hiệu ngõ vào ngõ xuất mã tương ứng trạng thái tích cực ( mức logic 0) ngõ cịn lại khơng tích cực (mức logic 1) Cụ thể là: ngõ vào 0000 mã ngõ xuất y = 0, ngõ lại Khi ngõ vào 0001 ngõ xuất y1 = 0, ngõ lại 1… LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Ta có bảng trạng thái mơ tả hoạt động mạch: Biến đầu vào Biến đầu (y) x3 x2 x1 x0 15 14 13 12 11 10 0 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 0 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 Bảng 2: Bảng trạng thái mức tích cực thấp 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 1 1 1 1 1 Phương trình logic tối giản: y0  x0 x1 x2 x3 y1  x0 x1 x2 x3 y2  x0 x1 x2 x3 y3  x0 x1 x2 x3 y4  x0 x1 x2 x3 y5  x0 x1 x2 x3 y6  x0 x1 x2 x3 y7  x0 x1 x2 x3 y8  x0 x1 x2 x3 y9  x0 x1 x2 x3 y10  x0 x1 x2 x3 y11  x0 x1 x2 x3 y12  x0 x1 x2 x3 y13  x0 x1 x2 x3 y14  x0 x1 x2 x3 y15  x0 x1 x2 x3 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Vẽ mạch logic: - Dùng NAND Hình 8: Mạch logic tích cực mức thấp dung NAND - Dùng NOR Hình 9: Mạch logic tích cực mức thấp dung NOR 10 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com PHẦN II: MÔ PHỎNG I DÙNG IC 74LS138 Giới thiệu IC 74LS138 74LS138 IC MSI giải mã đường sang đường hay tách kênh đường sang đường thường dùng có hoạt động logic tiêu biểu, cịn thường dùng mạch giải mã địa mạch điều khiển máy tính Sơ đồ chân kí hiệu logic hình : Hình 10: Kí hiệu khối chân 74LS138 Trong đó: A0, A1, A2 đường địa ngõ vào E1, E2 ngõ vào cho phép (tác động mức thấp) E3 ngõ vào cho phép tác động mức cao O0 đến O7 ngõ (tác động mức thấp ) Cấu trúc bên 74LS138: Hình 11: Cấu trúc bên 74LS138 11 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Hoạt động giải mã sau : Đưa liệu nhị phân 3bit vào C, B, A(LSB), lấy liệu ngõ O0 đến O7; ngõ cho phép E2 E3 đặt mức thấp, ngõ cho phép E1 đặt mức cao Chẳng hạn CBA 001 ngõ O1 xuống thấp ngõ khác cao Ta ghép nối IC 74LS138 để dùng cho mạch giải mã đầu vào 16 đầu theo sơ đồ sau: - Hình 16: Sơ đồ ghép nối IC 74LS138 Tiến hành mô dùng IC 74LS138 a) Những linh kiện cần thiết IC TIMER 555 dùng để tạo xung theo thời gian IC 7493 có tác dụng đếm xung đầu vào Led-red , điện trở, tụ điện, nguồn điện b) Mô 12 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Hình 17: Sơ đồ mô mạch giải mã ghép IC 74LS138 II Dùng IC 74LS154 Giới thiệu IC74LS154 74154/LS154 IC giải mã sang 16 đường hay tách kênh sang 16 đường Sơ đồ chân IC 74LS154 Hình 18: Sơ đồ chân IC 74LS154 13 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Trong đó: A0, A1, A2, A3 đường địa ngõ vào E0, E1 ngõ vào cho phép Q0 đến Q15 16 ngõ Tiến hành mơ dùng IC 74154 Hình 19: Sơ đồ mô mạch giải mã dùng IC 74154 Kết luận - Mạch giải mã sử dụng rộng rãi ứng dụng ghép kênh liệu, hiển thị led đoạn, giải mã địa nhớ… - Hai mạch giải mã có ưu điểm là: + Mạch đơn giản dễ sử dụng, hoạt động xác, linh kiến dễ tìm kiếm, giá thành rẻ… + Có thể ghép nối nhiều IC để sư dụng cho mạch giải mã có yêu cầu đầu lớn 14 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com - Tuy nhiên số khuyết điểm : mạch yêu cầu nhiều đầu cần phải ghép nối nhiều IC làm cho mạch phức tạp cồng kềnh… - Mạch giải mã cịn thiết kế mô phần tử logic NAND, NOR, AND, NOT…tuy nhiên mạch cần nhiều linh kiện phức tạp 15 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com Tài liệu tham khảo Lương Ngọc Hải, Lê Hải Sâm, Điện Tử Số Nhà xuất giáo dục 2010 http://www.dientuvietnam.net/forums/ho-tro-hoc-tap-165/mach-su-dungic-74138-va-ic-7493-a-29099/ http://www.scribd.com/doc/53416490/39/III-IC-74LS154 http://www.ddth.com/showthread.php/210692-hoi-ve-mach-giai-ma-nhiphan-bat-phan.html http://www.dientuvietnam.net/forums/ky-thuat-mach-logic-dien-tu-so58/thiet-ke-mach-dem-dung-ic-7493-ic-74138-a-33993/ http://www.ebook.edu.vn/?page=1.6&view=16377 Nguyễn Trung Hòa, Kĩ thuật số http://www.dientuvietnam.net/forums/ky-thuat-mach-logic-dien-tu-so58/thiet-ke-mach-dem-dung-ic-7493-ic-74138-a-33993 http://www.dientuvietnam.net/forums/ky-thuat-mach-logic-dien-tu-so58/tim-ic-29133/ 16 LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com ... khối mã hóa Hình 3: Sơ đồ khối khối mã hóa LUAN VAN CHAT LUONG download : add luanvanchat@agmail.com c) Sơ đồ khối mạch giải mã Hình 4: Sơ đồ khối mạch giải mã II THIẾT KẾ MẠCH GIẢI MÃ NHỊ PHÂN Phân. .. mạch - Khối mã hóa: có ác dụng chuyển tín hiệu đầu vào sang dạng nhị phân - Khối giải mã: ta dùng IC 74ls154 ghép nối IC 74ls138: Đây IC giải mã đầu vào → 16 đầu chuyển từ mã nhị phân sang số... PHẦN I: THIẾT KẾ CHÍNH I LÝ THUYẾT Khái niệm chức mạch giải mã Mạch giải mã mạch có chức ngược lại với mạch mã hố tức có mã số áp vào ngõ vào tương ứng có ngõ tác động, mã ngõ vào thường mã ngõ

Ngày đăng: 02/11/2022, 14:40

w