Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống
1
/ 18 trang
THÔNG TIN TÀI LIỆU
Thông tin cơ bản
Định dạng
Số trang
18
Dung lượng
1,21 MB
Nội dung
HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG ***** BÁO CÁO MƠN HỌC : THIẾT KẾ LOGIC SỐ - NHĨM 02 NHÓM BÀI TẬP LỚN : 18 GIẢNG VIÊN : TRẦN THÚY HÀ CÁC THÀNH VIÊN TRONG NHÓM : TRẦN ĐÌNH KHIÊM-B18DCDT114 TRẦN QUANG LINH-B18DCDT125 NGUYỄN GIA LONG-B18DCDT130 Hà Nội, ngày 27 tháng 11 năm 2021 Bài 1: Viết chương trình điều khiển led sáng lan từ bên vào Chương trình VHDL mơ tả hệ thống: library IEEE; Khai báo thư viện IEEE use IEEE.STD_LOGIC_1164.ALL; logic đa mức Gói thư viện IEEE cho biết hệ entity main is vào/ra Là thực thể tạo danh sách mô tả chân Port ( clk : in STD_LOGIC; Xung clock mơ tả tín hiệu vào rst : in STD_LOGIC; Hệ thống đóng ngắt cout : out STD_LOGIC_VECTOR (7 downto 0)); Đầu 8bit hệ thống end main; biểu thị led architecture Behavioral of main is cấu trúc mô tả mạch type state is (zero, one, two, three, four); Các trạng thái biểu diễn signal pr_state, nx_state : state; tác dụng Hai trạng thái có chuyển trạng thái begin process(clk, rst) Tuần tự clk rst begin if(rst = '1') then zero) Khi rst = ‘1’ trạng thái mức thấp(trạng thái pr_state