1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Báo cáo môn thiết kế logic số4

15 3 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG KHOA: KĨ THUẬT ĐIỆN TỬ I -🙞🙞🙞🙞🙞 - BÁO CÁO BÀI TẬP LỚN MÔN THIẾT KẾ LOGIC SỐ Giảng viên : Ts.Trần Thúy Hà Sinh viên thực hiện: Vũ Quang Sáng B18DCDT234 Nguyễn Tiến Thành B18DCDT202 Vũ Xuân Triệu B18DCDT254 Nhóm :02 Nhóm tập lớn:10 2021 Viết chương trình mơ tả đếm tiến/lùi thập phân hiển thị kết đếm LED đoạn Nguyên lý đèn LED hiển thị từ đến hệ thập phân thông qua diode hoạt động mức tích cực 0,1 Mạch đếm thập phân tiến/lùi có clock , reset hoạt động clk=1 trở reset=1 I Code VHDL library IEEE; use IEEE.STD_LOGIC_1164.ALL; -entity main is Port ( clk,reset,up : in STD_LOGIC := '0'; z : out STD_LOGIC := '0'; led : out STD_LOGIC_VECTOR (6 downto 0) :="0000000"); end main; -architecture Behavioral of main is signal reg,next_reg : integer := 0; begin process(clk) begin if(clk'event and clk='1') then reg

Ngày đăng: 11/10/2022, 16:35

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w