1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Báo cáo môn thiết kế logic số6

20 6 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG KHOA KĨ THUẬT ĐIỆN TỬ - - BÁO CÁO BÀI TẬP LỚN MÔN HỌC: THIẾT KẾ LOGIC SỐ Giảng viên hướng dẫn : TS.Trần Thị Thúy Hà Nhóm mơn học : 02 Nhóm tập lớn : 11 Thành viên nhóm : Vũ Văn Minh B18DCDT159 Nguyễn Ngọc Quang B18DCDT191 Mai Duy Phong B18DCDT183 HÀ NỘI, 11 / 2021 -⁃⁃⁃⁃‹‹‹﴾﴾﴾›››⁃ ⁃⁃⁃ - LỜI NÓI ĐẦU Qua thời gian học tập nghiên cứu học phần “THIẾT KẾ LOGIC SỐ” với giảng dạy truyền đạt kiến thức cách tận tình Trần Thị Thúy Hà - Giáo viên khoa Kỹ thuật Điện tử trường Học viện Cơng nghệ Bưu Viễn thơng, chúng em học tập nhiều kiến thức bổ ích thiết kế vi mạch lập trình nói chung sơ lược ngơn ngữ lập trình VHDL nói riêng Ngày nay, ngôn ngữ mô tả phần cứng VHDL dùng nhiều thiết kế cho thiết bị logic lập trình PLD từ loại đơn giản đến phức tạp FPGA Việc xử lý tín hiệu thiết bị điện tử đại dựa sở nguyên lý số Bởi vậy, việc hiểu sâu sắc điện tử số điều thiếu với kỹ sư điện tử Nhu cầu hiều biết kỹ thuật số riêng cá kỹ sư điện tử mà nhiều cán kỹ thuật chuyên ngành khác có sử dụng thiết bị điện tử Để tổng kết môn học, chúng em làm tập lớn, gồm tập với yêu cầu sử dụng ngôn ngữ lập trình VHDL để giải số tốn Trong q trình thực hiện, cịn hạn chế mặt kiến thức, nhóm khơng tránh khỏi sai sót, hiểu nhầm đề bài,… tận tình dạy thuật toán phương hướng sửa lại Bài báo cáo nhằm tổng hợp lại nhóm thu trình học tìm hiểu, cịn sai sót nội dung trình bày Chúng em mong nhận ý kiến từ để nhóm hồn thiện Chúng em xin chân thành cảm ơn! MỤC LỤC Câu 1: Viết chương trình mơ tả đếm tiến/lùi Mod 60 hiển thị kết đếm LED đoạn .1 1.1 Nguyên lý hoạt động mạch 1.2 Code .1 1.2.1 Code 1.2.2 Ảnh mô Câu 2: Viết chương trình mơ tả mạch phát chuỗi bit nhị phân liên tiếp, đầu xuất chuỗi bit “000” đầu trường hợp lại .5 2.1 Nguyên lý hoạt động mạch 2.2 code .6 2.2.1 code 2.2.2 Ảnh mô Câu 3: Viết chương trình mơ tả biến mã từ mã BCD sang mã dư .9 3.1 Nguyên lý hoạt động mạch 3.2 Code .9 3.2.1 Code 3.2.2 Ảnh mô 11 Câu 4: Viết chương trình mơ tả phân kênh 1:16, có đầu vào điều khiển hoạt động mức cao, lối hiển thị LED đơn 12 4.1 Nguyên lý hoạt động mạch 12 4.2 Code .12 4.2.1 Code 12 4.2.2 Ảnh mô 16 4.2.3 Ảnh sơ đồ mạch 17 Câu 1: Viết chương trình mơ tả đếm tiến/lùi Mod 60 hiển thị kết đếm LED đoạn 1.1 Nguyên lý hoạt động mạch  Chương trình thực đếm lên từ 00 lên 59 chương trình mod 60 Ứng với lần xung clock thay đổi sườn dương tín hiệu xuất led đoạn tương ứng  Sau đếm lên 59 chương trình đếm ngược lại từ 59 00  Ví dụ trạng thái ban đầu, led đoạn hiển thị 00 Sau có tín hiệu xung clock thay đổi theo ý định 00 nhảy sang 01 tức led đoạn hàng đơn vị chuyển từ lên 1, led hàng chục giữ nguyên số led hàng đơn vị số có tín hiệu clock lần led đoạn hàng chục tăng lên đơn vị Trong chế độ giảm vậy, led hàng đơn vị giảm từ 0, led hàng chục giảm từ 1.2 Code 1.2.1 Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity main is Port ( clk : in STD_LOGIC; q1 : out std_logic_vector(7 downto 0); q2 : out std_logic_vector(7 downto 0); led1 : out integer range to 5; led2 : out integer range to ); end main; architecture Behavioral of main is signal temp1 : std_logic_vector(7 downto 0); signal temp2 : std_logic_vector(7 downto 0); begin cv : process(clk) variable ok : integer := 0; variable num1 : integer range to := 0; variable num2 : integer range to := 0; num1 := 0000; begin if clk = '1' and clk'event then if ok = then if num2 < 10 then num2 := num2 + 1; if num2 = 10 then num1 := num1 + 1; num2 := 0; if num1 = then num1 := 5; num2 := 9; ok := 1; end if; end if; end if; led1

Ngày đăng: 11/10/2022, 16:36

Xem thêm:

HÌNH ẢNH LIÊN QUAN

Ảnh ở trên là đồ hình trạng thái của mạch, ý tưởng code dựa trên đồ hình đó - Báo cáo môn thiết kế logic số6
nh ở trên là đồ hình trạng thái của mạch, ý tưởng code dựa trên đồ hình đó (Trang 9)

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w