1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Báo cáo môn thiết kế logic số

16 3 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

BỘ THÔNG TIN VÀ TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THƠNG -  - BÁO CÁO THIẾT KẾ LOGIC SỐ Giảng viên hướng dẫn: T.T.T Hà Nhóm lớp: 02 Nhóm thực hiện: 04 Thực hiện: Mai Đình Hưng - B18DCDT101 Hồng Quốc Vương - B18DCDT265 Đặng Hà Phong - B18DCDT268 1|Pa g e Mục lục Bài 1: Viết chương trình điều khiển LED nháy tròn Nguyên lý hoạt động mạch - Mạch có chức điều khiển LED nháy dịch từ LED1 đến LED8 xếp thành vịng trịn, chương trình chạy clock=1 Code VHDL library IEEE; 2|Pa g e use IEEE.STD_LOGIC_1164.ALL; entity bai1code is Port (clk: in STD_LOGIC; P: out STD_LOGIC_VECTOR (7 downto 0)); end bai1code; architecture Behavioral of bai1code is Signal Q: integer := 0; begin process(clk) begin If rising_edge(clk) then if Q = then Q OutData (0) OutData (1) OutData (2) OutData (3) OutData (4) OutData (5) OutData (6) OutData (7) OutData (8) OutData (9) OutData (10) OutData (11) OutData (12) OutData (13) OutData (14) OutData (15) Sel, Din => Din, OutPut => OutPut 14 | P a g e ); CLK

Ngày đăng: 11/10/2022, 16:34

Xem thêm:

Mục lục

    Nguyên lý hoạt động của mạch

    Bài 2: Viết chương trình mô tả bộ đếm lùi Mod 9 (có CLK, CLR, Pause)

    Nguyên lí hoạt động của mạch

    Bài 3: Viết chương trình mô tả bộ đếm lùi BCD từ 59 đến 00 - hiển thị trên LED 7 đoạn Anode chung (CLK, CLR)

    Bài 4: Viết chương trình mô tả bộ phân kênh 1:16 (Enable hoạt động ở mức thấp)

    Nguyên lý hoạt động

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w