Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống
1
/ 28 trang
THÔNG TIN TÀI LIỆU
Thông tin cơ bản
Định dạng
Số trang
28
Dung lượng
572,13 KB
Nội dung
Nhóm 17 Nhóm 17 BỘ THƠNG TIN VÀ TRUYỀN THƠNG HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG BÁO CÁO BÀI TẬP LỚN Môn học: Thiết kế logic số Giảng Viên: Trần Thúy Hà Nhóm mơn học: ELE1426 - 02 Nhóm tập lớn: 17 Sinh viên nhóm : Quách Tô Hiệu - B18DCDT080 Từ Minh Long - B18DCDT136 Nguyễn Ngọc Đức Minh - B18DCDT156 Năm học 2021-2022 Nhóm 17 MỤC LỤC LỜI MỞ ĐẦU Error: Reference source not found Câu 1: Viết chương trình điều khiển led sáng lan từ sang hai bên 1.1.Sơ đồ khối .Error: Reference source not found 1.2.Cách làm, trình thực .6 1.3.VHDL 1.4.Testbench Error: Reference source not found Câu 2: Viết chương trình mơ tả mạch phát chuỗi bit nhị phân liên tiếp, đầu xuất nhiều bit liên tục đầu trường hợp lại 2.1 Sơ đồ khối 2.2 Cách làm, trình thực 2.3 VHDL 2.4 Testbench 12 Câu 3: Viết chương trình mơ tả đếm Gray bit hiển thị kết dạng thập phân LED …………………………………………… 13 3.1 Sơ đồ khối.……………………………………………………….….13 3.2 Cách làm, trình thực ……………………………13 3.3.VHDL 16 3.4.Testbench 20 Câu 4: Viết chương trình mơ tả giải mã 3:8, có đầu vào điều khiển hoạt động mức thấp, lối hiển thị LED đơn ……………………………….22 4.1 Sơ đồ khối 22 4.2 Cách làm, trình thực 22 4.3 VHDL .23 Nhóm 17 4.4 Testbench 24 LỜI CẢM ƠN 26 LỜI MỞ ĐẦU Ngày nay, khái niệm kỹ thuật trở nên quan thuộc với nhiều người phát triển ngành lớn với phát triển kinh tế toàn cầu Để đáp ứng nhu cầu cấp thiết sống hội nhập tiến độ phát triển giới, đòi hỏi ngành kỹ thuật hiên ngày phải nâng cao phát triển chất lượng khả ứng dụng rộng rãi Trong ngành “Cơng nghệ kỹ thuật Điện – Điện tử” đóng vai trị quan trọng sống sinh hoạt hàng ngày sản xuất khắp giới Với công nghiệp 4.0 thiết bị điện tử đóng vai trị quan trọng, ngày trở nên đại chất lượng tốt Đặc biệt với ngành công nghiệp, cơng suất khơng thể thiếu để vận hành máy móc hoạt động Vì mơn học Thiết kế logic số đưa vào giảng dạy giúp cho chúng em tiếp thu thêm nhiều kiến thức mô chạy thử mạch logic số Cùng với kiến thức học lớp kinh nhiệm có chúng em bắt tay vào làm tập lớn giao cho Trong q trình thực hiện, nhóm chúng em cố gắng để hồn thành tốt, vốn kiến thức hạn hẹp kỹ chưa có nhiều nên khơng tránh khỏi sai sót Chúng em mong đóng góp ý kiến, phê bình hướng dẫn thêm cô bạn lớp để giúp chúng em hoàn thiện Cuối em xin gửi lời cảm ơn chân thành tới cô Trần Thúy Hà giảng dạy môn Thiết kế logic số truyền đạt kiến thức cho chúng em để hồn thành tốt tập Nhóm 17 Nhóm 17 Câu 1: Viết chương trình điều khiển led sáng lan từ sang hai bên: Sơ đồ khối Cách làm, trình thực Theo sơ đồ : • Chuyển mạch DIP-SW cho phép lựa chọn chế độ làm việc khác cho Bộ điều khiển • Bộ điều khiển tạo tín hiệu điều khiển cấu LED sáng từ LED đến LED8 , tạo chế độ \ • Chu kì sáng lựa chọn chuyển mạch DIP-SW , theo bảng sau Chế độ S 00000000 00011000 00111100 01111110 11111111 00000000 V H DL library IEEE; use IEEE.STD_LOGIC_1164.ALL; Nhóm 17 entity Bai1 is Port ( CLK : in STD_LOGIC; CLR : in STD_LOGIC; OutData : out STD_LOGIC_VECTOR (7 downto 0)); end Bai1; architecture Behavioral of Bai1 is Signal OutPut: STD_LOGIC_VECTOR(7 downto 0) := (others => '0'); Signal Counter: integer := 0; begin No1: process(CLK, CLR) begin if CLR = '1' then OutPut '0'); elsif CLK'event and CLK = '1' then if Counter = then Counter CLR, Nhóm 17 OutData => OutData ); CLR Din, OutPut => OutPut ); CLK