1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Báo cáo môn thiết kế logic số11

18 8 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

BỘ THÔNG TIN VÀ TRUYỀN THÔNG HỌC VIỆN CÔNG NGHỆ BƯU CHÍNH VIỄN THƠNG BÁO CÁO BÀI TẬP LỚN THIẾT KẾ LOGIC SỐ Giảng viên hướng dẫn: Trần Thị Thúy Hà Nhóm học phần: Nhóm 01 Nhóm tập lớn: 15 Thành viên nhóm: Phạm Đức Hải – B18DCDT063 Hồng Lê Minh – B18DCDT152 Dương Đức Hoàng Duy – B18DCDT031 Hà Nội – 11/2021 Câu 1: Viết chương trình điều khiển led, LED 0, LED 1, LED 4, LED sáng nhấp nháy theo chu kì 1s Bài làm 1.1 Nguyên lý hoạt động mạch Để tạo chu kì chuẩn 1s ta cần sử dụng phương pháp chia tần số vi điều khiển Thông thường tần số vi điều khiển thường lớn để thuận tiện cho mơ nhóm em giả sử vi điều khiển tần số 10Hz Ở ta cần tạo tần số 1Hz để điều khiển LED Vì tần số vi điều khiển chia nhỏ để tạo xung clock có tần số mong muốn Trước tiên ta sử dụng cách đếm xung vi điều khiển, 10 xung vi điều khiển ta đổi trạng thái tín hiệu gọi Clock1Hz Từ ta tạo xung clock có tần số 1Hz hay chu kì 1s 1.2 Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity bai1 is Port ( clk : in STD_LOGIC; rst : in std_logic; q : out std_logic_vector (7 downto 0) ); end bai1; architecture Behavioral of bai1 is signal clk1hz : bit; signal dem : integer range to := 0; begin freq_div : process (rst,clk) begin if rst = '1' then clk1hz

Ngày đăng: 11/10/2022, 16:37

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w