1. Trang chủ
  2. » Giáo Dục - Đào Tạo

Báo cáo môn thiết kế logic số9

22 3 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

HỌC VIỆN CƠNG NGHỆ BƯU CHÍNH VIỄN THƠNG KHOA KĨ THUẬT ĐIỆN TỬ I -🙠🙠🙠 - BÁO CÁO BÀI TẬP LỚN MÔN HỌC: THIẾT KẾ LOGIC SỐ Giảng viên hướng dẫn : TS.Trần Thị Thúy Hà Nhóm mơn học : 02 Nhóm tập lớn : 14 Thành viên nhóm : Đặng Nhật Linh Lê Đức Duy B18DCDT121 B18DCDT033 HÀ NỘI, 11 / 2021 -⁃⁃⁃⁃‹‹‹﴾🙠﴾›››⁃⁃⁃⁃ - Mục Lục Câu 1: Viết chương trình điều khiển led LED0, LED2, LED 4, LED6 sáng nhấp nháy theo chu kỳ 1s? 1.1 Nguyên lý hoạt động mạch Mạch có chức làm sáng led: led0, led2, led4, led6 sáng tắt vịng 1s Việc khó chu kỳ vòng 1s Để tạo chu kỳ 1s Em sử dụng xung clock, xung clock lên sường dương tăng biến đếm lên +1 Và độ rộng xung clock em cho 500ms Như đến đến 10 tức cần phải 5000ms, sáng đèn Và tiếp tục lại cần 5000ms để tắt led Như led sáng tắt vịng 1s 5000ms + 5000ms = 1s 1.2 Code 1.2.1 Code library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity main is Port ( clk : in STD_LOGIC; rst : in std_logic; q : out std_logic_vector (7 downto 0) ); end main; architecture Behavioral of main is signal clk1hz : bit; signal dem : integer range to := 0; begin freq_div : process (rst,clk) begin if rst = '1' then clk1hz led2, q => q ); res

Ngày đăng: 11/10/2022, 16:37

Xem thêm:

TÀI LIỆU CÙNG NGƯỜI DÙNG

TÀI LIỆU LIÊN QUAN

w