BÁO cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

28 5 0
BÁO cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn BỘ GIAO THÔNG VẬN TẢI TRƯỜNG ĐH GIAO THÔNG VẬN TẢI TP.HCM KHOA ĐIỆN – ĐIỆN TỬ VIỄN THÔNG BÁO CÁO ĐỒ ÁN MÔN HỌC HỌC PHẦN: KĨ THUẬT SỐ Mã học phần: 010103210408 Đề tài: Thiết kế, mô tập 5.23 phần mềm Proteus, Max Plus II Giảng viên hướng dẫn: Th.s Nguyễn Thanh Hiếu Thành viên nhóm: Nguyễn Hữ u Tà i_2051050175_TD20D Nguyễn Hữ u Thắ ng_2051050198_TD20D Phan Nhậ t Tâ n_2051050178_TD20D Nguyễn Thà nh Trung_2051050221_TD20D Tp Hồ Chí Minh, ngày tháng 06 năm 2022 Tài liệu ôn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS Tài liệu ôn tập – xxxx – 2022 www.hocthatlamthat.edu.vn TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn CHƯƠNG PHẦN MỀM PROTEUS 1.1 Giới thiệu phần mềm: Phầ n mềm Proteus cho phép mô phỏ ng hoạ t độ ng củ a mạ ch điện tử bao gồ m phầ n thiết kế mạ ch viết chương trình điều khiển cho cá c họ vi điều khiển MCS-51, PIC, AVR, … Proteus phầ n mềm mô phỏ ng mạ ch điện tử củ a Labcenter Electronics, mô phỏ ng cho hầ u hết cá c linh kiện điện tử thô ng dụ ng, đặ c biệt hỗ trợ cho cá cá c MCU PIC, BOS1, AVR Motorola Phầ n mềm bao gồ m chương trình: ISIS (Intelligent Schematic Iniput System) cho phép mô phỏ ng mạ ch ARES (Advanced Routing and Editing Software) dù ng để mạ ch in Proteus cô ng cụ mô phỏ ng cho cá c loạ i Vi Điều Khiển tố t, hỗ trợ cá c dị ng VĐK PIC, 8051, PIC, dsPIC, AVR, HC11, MSP430, ARM7/LPC2000 cá c giao tiếp I2C, SPI, CAN, USB, Ethenet, ngồ i cị n mơ phỏ ng cá c mạ ch số , mạ ch tương tự mộ t cá ch hiệu Proteus cô ng cụ chuyên mô phỏ ng mạ ch điện tử 1.2 Đặc điểm:  Có khả nă ng mơ phỏ ng hầ u hết trình điều khiển cho vi điều khiển  Chọ n đố i tượ ng thiết lậ p thô ng số cho đố i tượ ng dễ dà ng  Xuấ t file Netlist tương thích vớ i cá c chương trình m mạ ch in thô ng dụ ng.   Xuấ t file thố ng kê linh kiện cho mạ ch  ISIS tích hợ p nhiều ng cụ giú p cho việc n lý mạ ch điện lớ n, mạ ch điện lên đến hà ng ngà n linh kiện phụ c vụ cho thiết kế mạ ch chuyên nghiệp  Thiết kế theo cấ u trú c (hierachical design)  Khả nă ng tự độ ng đá nh số linh kiện 1.3 Tính năng:  Cá c tính nă ng bả n mà mộ t phầ n mềm thiết kế mạ ch in đá p ứ ng:  Tạ o hình ng kích thướ c bả n mạ ch  Cho phép nhậ p thư viện linh kiện Cho phép tạ o thư viện linh kiện mớ i  Xoay, lậ t linh kiện Tài liệu ôn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Kiểm tra cá c xung độ t mạ ch như: khoả ng cá ch tố i thiểu giữ a hai linh kiện; khoả ng cá ch tố i thiểu giữ a hai đườ ng mạ ch; chậ p đườ ng mạ ch châ n đấ t đấ u vớ i châ n nguồ n;  Tự độ ng chạ y đườ ng mạ ch * Vẽ sơ đồ nguyên lý: Có thể dễ dà ng đượ c cá c sơ đồ mạ ch điện tử Proteus mộ t cá ch dễ dà ng nhanh chó ng Ban lấ y linh kiên mong muố n từ thư viện củ a Proteus, sau kết nố i cá c linh kiện lạ i vớ i để tạ o mộ t mạ ch điện tử hoà n chỉnh Tài liệu ôn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn * Mô phỏ ng: Khả ứ ng dụ ng củ a Proteus mơ phỏ ng, phâ n tích cá c kết từ cá c mạ ch nguyên lý Proteus giú p ngườ i sử dụ ng thấ y trướ c đượ c mạ ch thiết kế chạ y đú ng hay sai trướ c thi cô ng mạ ch Cá c cô ng cụ phụ c vụ cho việc phâ n tích mạ ch có độ xá c cao vô n kế đo điện p, ampe kế đo dò ng điện, má y đao độ ng ký Thiết kế mạ ch in PCB: Là tính nă ng dễ sử dụ ng Proteus Có thể tự tạ o bả n thiết kế hoặ c bắ t Proteus m hộ bạ n Tự tạ o bả n thiết kế rấ t dễ dà ng cầ n bạ n đặ t nhữ ng chi tiết o sơ đồ vẽ đườ ng mạ ch điện chạ y qua Đừ ng lo lắ ng việc vi phạ m bấ t kỳ quy tắ c thiết kế nà o bở i tự độ ng phá t lỗ i Cò n muố n Proteus m thay bạ n cầ n đặ t cá c chi tiết o vị trí tương ứ ng rồ i cho chạ y tự độ ng Nó vẽ cá c cá ch đặ t đườ ng mạ ch lự a bả n tố t nhấ t Và cò n có mộ t tù y chỉnh nữ a “Auto placer”, yêu cầ u bạ n xá c lậ p kích thướ c bả ng bằ ng cá ch vẽ hình dá ng kích cỡ bả n mạ ch Sau , tự độ ng đặ t cá c chi tiết o kh n Sau tấ t việc bạ n phả i m lậ p sơ đồ mạ ch Tài liệu ôn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Ngồ i ra, q trình thiết kế mạ ch in bạ n cũ ng xem hình 3D Tính rấ t hữ u ích, cho phép bạ n thiết nhữ ng board mạ ch in đẹp theo mong muố n củ a 1.4 Hướng dẫn cách sử dụng:  Bướ c 1: Khở i độ ng chương trình Proteus Professional:  Bạ n chạ y chương trình Proteus Professional bằ ng cá ch nhấ p o biểu tượ ng ISIS Tài liệu ôn tập – xxxx – 2022 Professional desktop hoặ c TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS chọ n Windows >> Programs >> Proteus www.hocthatlamthat.edu.vn >> Professional >> ISIS Professional  Sau phầ n mềm khở i độ ng xong bạ n thấ y phầ n giao diện củ a sau:  Bướ c 2: Tạ o mớ i mộ t dự n: Để thự c tạ o mớ i dự n trên phầ n mềm vẽ proteus thì mọ i ngườ i m theo cá c bướ c sau:  Đầ u tiên, ng ta click chuộ t o mụ c New project Mộ t bả ng thao tá c ra, mụ c Name, bạ n điền tên củ a project tù y thích Lưu ý khơ ng đượ c xó a đ i phía sau Tài liệu ôn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Bấm Browse để tạo thư mục lưu Người dùng tạo thư mục đâu máy tính, miễn thuận tiện cho việc tìm kiếm Tài liệu ơn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Mộ t bả ng mớ i ra, click chuộ t o Create a PCB layout from… nhấ n chuộ t o Generic Single Player.Tiếp đến bạ n cầ n bấ m Next rồ i Finish đượ c  Bướ c 3: Mở chương trình ISIS Professional: B ạn nhấp vào biểu tượng Schematic Capture công cụ giao diện Proteus để mở chương trình ISIS Professional  Sau chương trình ISIS đượ c mở ra, mộ t vù ng m việc vớ i cá c nú t giao diện để thiết kế mạ ch xuấ t hình bên dướ i Cá c bạ n lưu ý vù ng m việc củ a ISIS có mộ t khung v ng mà u xanh, vẽ mạ ch bạ n phả i đả m bả o n phầ n mạ ch bạ n vẽ phả i nằ m khung vuô ng nà y  Bướ c 4: Tạ o phím tắ t proteus: Tài liệu ôn tập – xxxx – 2022 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Đầ u tiên, ng ta nhìn qua gó c bên tay trá i, biểu tượ ng hình chuộ t có tên Selectionmode Ở đâ y, mọ i ngườ i chọ n đườ ng dâ y, chọ n tên linh kiện Ở icon phía dướ i thao tá c cho linh kiện: lấ y hoặ c xó a bỏ linh kiện đượ c Icon thứ tư có tên LBL cắ t nhã n, đặ t hai nhã n gầ n vớ i ng nố i lạ i Tuy nhiên, ng ta khô ng thể nà o thấ y đườ ng dâ y Icon Terminals Mode lấ y output, input, power, bus,…  Chọ n system ng cụ , sau nhấ n o Set keyboard mapping Để tạ o phím tắ t proteus, bạ n hã y tìm kiếm dị ng chữ Rotate Clockwise vớ i ký hiệu R Sau chọ n Selection mode vớ i biểu tượ ng hình trỏ chuộ t hoặ c Component mode hay Wire label mode  Khi bấ m o từ ng mode, hã y nhìn o mụ c bên dướ i, điền o phím cho từ ng c nă ng bấ m Assign Thao tá c tứ c bạ n gắ n nhữ ng phím để thự c từ ng c nă ng cụ thể Tiếp đến nhấ n OK  Bướ c 5: Lấy tấ t cá c linh kiện sử dụ ng từ thư viện củ a Proteus: Tài liệu ôn tập – xxxx – 2022 10 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Nhấ p giữ trá i chuộ t o linh kiện cầ n di chuyển, sau rê chuộ t đến vị trí mớ i thả chuộ t Bạ n cũ ng dù ng lệnh Block Move cô ng cụ di chuyển linh kiện * Xoay linh kiện:  Để xoay cá c linh kiện bạ n thao tá c sau:  Đặ t trỏ lên linh kiện cầ n xoay sau bấ m phả i chuộ t, bạ n chọ n cá c lệnh xoay (rotate) theo chiều kim đồ ng hồ , ngượ c chiều kim đồ ng hồ , xoay 180°. Bạ n  lậ t (mirror) linh kiện theo chiều ngang hay chiều dọ c cũ ng từ cử a sổ tắ t nà y Bạ n cũ ng dù ng ng cụ Block Rotate ng cụ để xoay linh kiện * Xó a linh kiện:  Bạ n để trỏ lên linh kiện cầ n xó a rồ i bấ m phả i chuộ t sau bạ n chọ n lệnh Delete Object từ shortcut menu Bạ n cũ ng dù ng phím Delete để xó a linh kiện hoặ c dù ng cô ng cụ Block Delete nh ng cụ để xó a linh kiện Tài liệu ôn tập – xxxx – 2022 14 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Bướ c 7: Thay đổ i thô ng số kỹ thuậ t củ a linh kiện:  Để vẽ mạ ch mộ t cá ch nhanh chó ng ng ta khơ ng nhấ t thiết phả i lấ y linh kiện có cá c thơ ng số xá c, nhấ t mạ ch có nhiều linh kiện giố ng c thô ng số kỹ thuậ t Nếu lấ y từ ng linh kiện đú ng vớ i cá c thơ ng số u cầ u mấ t rấ t nhiều thờ i gian đô i thư viện khơ ng có linh kiện vớ i thơ ng số cầ n tìm Vì vậ y, ta cầ n phả i thay đổ i cá c thô ng số kỹ thuậ t cho linh kiện  Ví dụ : Sau đặ t điện trở  ra ngồ i mà n hình thiết kế, bạ n double click o linh kiện nà y, mộ t cử a sổ bạ n tiến hà nh thay đổ i tên giá trị củ a điện trở o ô Part Reference Resistance tương ứ ng Cuố i cù ng bạ n nhấ p chọ n OK để hoà n tấ t việc chỉnh sử a  Bướ c 8: Bố trí, sắ p xếp lạ i linh kiện cho hợ p lý:  Bạ n dù ng cá c lệnh di chuyển linh kiện, lậ t linh kiện,…như trình bà y để bố trí, sắ p xếp lạ i cá c linh kiện mạ ch cho thậ t hợ p lý Tài liệu ôn tập – xxxx – 2022 15 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn trướ c tiến hà nh bướ c Mụ c đích củ a việc m nà y là m cho sơ đồ mạ ch đượ c rõ rà ng trình thiết kế mạ ch đượ c hoà n tấ t  Bướ c 9: Nố i dâ y:  Sau lấ y sắ p xếp cá c linh kiện theo mong muố n, bạ n tiến hà nh nố i cá c châ n linh kiện cho mạ ch Bạ n tiến hà nh sau:  Đặ t trỏ châ n linh kiện cầ n nố i dâ y ô vuô ng mà u đỏ xuấ t sau bạ n click chuộ t o châ n linh kiện chế độ nố i dâ y đượ c bắ t đầ u Bạ n rê chuố t đến châ n linh kiện cầ n nố i c click chuộ t mộ t lầ n nữ a để kết thú c trình nố i dâ y Bạ n thao tá c tương tự vậ y hoà n nh sơ đồ mạ ch  Để xó a đườ ng nố i dâ y sai, bạ n nhấ p phả i chuộ t đườ ng dâ y nố i chọ n Delete Wire hoặ c double click phả i đườ ng dâ y nố i  Bướ c 10: Kiểm tra sơ đồ mạ ch nguyên lý:  Kiểm tra sơ đồ mạ ch sau hoà n nh xong mạ ch thiết kế rấ t quan trong, giú p bạ n tìm đượ c nhữ ng lỗ i mà trình thiết kế bạ n chưa phá t đượ c  Để kiểm tra lỗ i ta thao tá c sau:  Trên cô ng cụ , bạ n chọ n Tool >> Electrical Rule Check  Nếu có thơ ng lỗ i bạ n tìm cá ch khắ c phụ c khơ ng cị n lỗ i nhậ n đượ c dò ng thơ ng bá o (No ERC errors found) hình dướ i đâ y  Sau kiểm tra hiệu chỉnh sơ đồ mạ ch mong muố n bạ n nhớ lưu lạ i Tài liệu ôn tập – xxxx – 2022 16 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Mạ ch dao độ ng đa hà i phi ổ n dù ng IC 555 đượ c vẽ bằ ng chương trình ISIS củ a Proteus sau: 1.5 Ưu điểm nhược điểm phần mềm: * Ưu điểm:  Dễ ng tạ o mộ t sơ đồ nguyên lý từ đơn giả n đến phứ c tạ p  Dễ dà ng sử a cá c đặ c tính củ a linh kiến sơ đồ nguyên lý  Hỗ trợ kiểm tra lỗ i thiết kế sơ đồ nguyên lý Có thể xem lưu lạ i phầ n bá o lỗ i  Phầ n mềm chạ y mô phỏ ng phâ n tích cá c tính chấ t củ a mộ t mạ ch điện mộ t cá ch xá c  Proteus cung cấ p cho ngườ i sử dụ ng cô ng cụ biên dịch cho cá c họ vi xử lý MSC51, AVR, HC11, qua tạ o cá c tậ p tin hex dù ng để nạ p cho vi xử lý tậ p tin dsl dù ng để xem chạ y kiếm tra từ ng bướ c q trình mơ phỏ ng  Phầ n mềm cung cấ p rấ t nhiều mơ hình linh kiện có c nă ng mơ phỏ ng từ cá c vi điều khiển thô ng dụ ng đến cá c link kiện ngoạ i vi như: LED, LCD, Keypad, cổ ng RS232, cho phép ngườ i sử dụ ng mô phỏ ng từ mộ t hệ vi điều khiển hoà n chỉnh đến việc xâ y dự ng phầ n mềm cho hệ thố ng đá p ứ ng cá c giao thứ c vậ t lý Ngoà i ra, Proteus cò n cho phép bạ n tự tạ o link kiên tương tá c độ ng bạ n thự c cá c mơ phỏ ng có tương tá c giố ng hoạ t độ ng củ a mộ t mạ ch thậ t Tài liệu ôn tập – xxxx – 2022 17 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn * Nhượ c điểm:  Phầ n mạ ch có giao diện khơ ng đượ c đẹp hấ p dẫ n 1.6 Ứng dụng:  Phầ n mềm nà y giú p thiết kế bả ng mạ ch in dự a sơ đồ mạ ch điện tạ o trướ c Rồ i vẽ lên bả ng mạ ch điện tử , mộ t bả ng cá ch điện có lắ p cá c linh kiện đượ c hà n kết nố i vớ i cá c đườ ng mạ ch điện theo sơ đồ định sẵ n để thự c c xá c định Để sả n xuấ t hà ng loạ t, hoặ c m bả ng mạ ch có nhiều linh kiện, hoặ c phả i bố trí lắ p cá c linh kiện nhiều châ n, ngườ i ta p dụ ng cô ng nghệ mạ ch in để tạ o bả ng mạ ch cá ch nhanh chó ng xá c  Nộ i dung củ a cô ng nghệ mạ ch in tạ o mộ t tấ m phim a hình ả nh cá c đườ ng mạ ch, in hình nà y lên lớ p mặ t đồ ng củ a tấ m ngun liệu, sau khoan lỗ ă n mị n đồ ng phầ n ngoà i cá c đườ ng mạ ch Tù y theo nhu cầ u m mạ ch mà mạ ch in đượ c đặ c trung vớ i số lớ p c nhau:  Mạ ch in ba lớ p có hai mặ t đồ ng, dù ng cá c thiết bị điện tử phứ c tạ p hệ thố ng đo lườ ng, Tài liệu ôn tập – xxxx – 2022 18 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Mạ ch in nhiều lớ p hơn, dù ng thiết bị cầ n tiết kiệm khô ng gian  Mạ ch in nă m lớ p, tương đương vớ i ép hai loạ i kể trên, có ba lớ p đồ ng hai lớ p cá ch điện, dù ng cá c hệ phứ c tạ p cao như máy tính cá nhân,  Mạ ch in hai lớ p có mộ t mặ t đồ ng, dù ng phổ biến  chuộ t má y tính, cá c thiết bị â m dâ n dụ ng, cá c điều khiển quạ t, lò vi só ng, Tài liệu ơn tập – xxxx – 2022 19 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn  Hầ u hết phầ n mềm thiết kế mạ ch in m việc trên máy tính cá nhân ở mơ i trườ ng MS Windows Tạ i cá c hã ng chế tạ o má y lớ n, thiết kế bo mạ ch chủ  cho má y điện tố n, điện thoạ i thơ ng minh, phầ n mềm nà y m việc cá c máy tính trạm Tài liệu ơn tập – xxxx – 2022 20 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS Tài liệu ôn tập – xxxx – 2022 www.hocthatlamthat.edu.vn 21 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn CHƯƠNG GIẢI VÀ THIẾT KẾ MẠCH Đề bà i: 5.23- Thiết kế mạ ch điếm song song JK-FF ( xung clock cạ nh xuố ng ) vớ i yêu cầ u khô ng sử dụ ng dã y đếm đượ c đưa trạ ng thá i 111 xung clock Bà i m: 2.1 Giải mạch Bả ng kích thích củ a JK-FF Q 0 1 Q+ 1 J X X K X X Bảng trạng thái hoạt động đếm TT Hiện Tại TT Kế Tiếp J J2 K K2 Q2 Q1 Q0 Q 2+ Q 1+ Q0+ 0 0 1 0 1 1 1 0 1 1 0 0 1 1 1 1 1 1 1 0 J J1 K K1 J J0 K0 Lập bìa k cho hàm ngõ vào Tài liệu ôn tập – xxxx – 2022 22 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS J2 www.hocthatlamthat.edu.vn Q1 Q 0 Q2 1 1 1 X X X X 0 1 J 2=Q0 K Q1 Q 0 Q2 X X X X K 2=Q1 Q0 J1 Q1 Q 0 Q2 1 1 1 X X 1 X X J 1=Q0 +Q 0=1 K Q1 Q Tài liệu ôn tập – xxxx – 2022 23 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS Q2 www.hocthatlamthat.edu.vn 1 1 0 X X 1 X X 0 1 K 2=Q J0 Q2 Q1 Q 0 1 0 X X 1 X X 1 J 0=Q1 K Q1 Q 0 Q2 1 0 X X X X K =Q1 Tài liệu ôn tập – xxxx – 2022 24 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn 2.2 Mô phần mềm Proteus Tài liệu ôn tập – xxxx – 2022 25 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn 2.3 Mô phần mềm Max Plus II: MÃ code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Hendra Kesuma Tài liệu ôn tập – xxxx – 2022 26 TIEU LUAN MOI download : skknchat123@gmail.com moi nhat CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn entity ent_JK_FF is Port ( J : in std_logic; K : in std_logic; CLK: in std_logic; RN : in std_logic; Q : out std_logic; QN : out std_logic); end ent_JK_FF; architecture arch_JK_FF of ent_JK_FF is signal FF : std_logic := '0'; begin process(CLK, RN) variable Temp_variable : std_logic_vector (1 downto 0); begin if RN = '0' then Q

Ngày đăng: 25/09/2022, 14:01

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan