(TIỂU LUẬN) báo cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

37 13 0
(TIỂU LUẬN) báo cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn BỘ GIAO THÔNG VẬN TẢI TRƯỜNG ĐH GIAO THÔNG VẬN TẢI TP.HCM KHOA ĐIỆN – ĐIỆN TỬ VIỄN THÔNG BÁO CÁO ĐỒ ÁN MÔN HỌC HỌC PHẦN: KĨ THUẬT SỐ Mã học phần: 010103210408 Đề tài: Thiết kế, mô tập 5.23 phần mềm Proteus, Max Plus II Th.s Nguy n Thanh Hiếu Giảng viên hướng dẫn:Nguy n H u Tài_2051050175_TD20D Thành viên nhóm:Nguy n H u Th ng_2051050198_TD20D Phan Nh t Tân_2051050178_TD20D Nguy n Thành Trung_2051050221_TD20D Tp Hồ Chí Minh, ngày tháng 06 năm 2022 Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS Tài liệu ôn tập – xxxx – 2022 www.hocthatlamthat.edu.vn CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn CHƯƠNG PHẦN MỀM PROTEUS 1.1 Phầ#nGiới thiệumề#m Proteusvềphầnchomềm:phé(p mô phỏ)ng hoạt độ ng củ)a mạch điệ n tử)bao gồ#m phầ#n thiế t kế mạch viế t chương trì nh điề#u khiể0n cho cá(c h ọ vi điề#u ể0n nh MCS- 51, PIC, AVR, … Proteus phầ#n mề#m mô phỏ)ng mạch điệ n tử)củ)a Labcenter Electronics, mô phỏ)ng cho hầ#u hế t cá(c linh kiệ n ệ n tử) thô ng dụ ng, đ ặ?c biệ t hỗ trợ cho cá(cá(c MCU PIC, BOS1, AVR Motorola Phầ#n mề#m bao gồ#m chương trì nh: ISIS (Intelligent Schematic Iniput System) cho phé(p mô phỏ)ng mạch ARES (Advanced Routing and Editing Software) dù ng để0về#mạch in Proteus cô ng cụ mô phỏ)ng cho cá(c loại Vi Đi ề#u Khi ể0n khá(t ố t, nó(hỗ trợ cá(c dò ng VĐK PIC, 8051, PIC, dsPIC, AVR, HC11, MSP430, ARM7/LPC2000 cá(c giao tiế p I2C, SPI, CAN, USB, Ethenet, ngồ i cị n mơ phỏ)ng cá(c mạch số , mạch tương tự mộ t cá(ch hiệ u qu ả) Proteus b ộ cô ng cụ chu n về#mơ phỏ)ng mạch điệ n tử) Có(khả)nă?ng mơ ph ỏ)ng hầ#u hế t trì nh điề#u khiể0n cho vi điề#u khiể0n 1.2 Đặc điểm: Chọn đố i tượ ng thiế t lậ p thô ng số cho đố i tượ ng dễ dà ng Xuấ t file Netlist tương thí(ch vớ(i c thơ ng dụng Xuấ t file thố ng kê linh kiệ n cho mạc ISIS tí(ch hợ p nhiề#u ng cụ giú(p ch mạch điệ n có(thể0lê n đế n hà ng ngà mạch chuyê n nghiệ p Thiế t kế theo cấ u trú(c (hierachical d Khả)nă?ng tự độ ng đá(nh số linh kiệ 1.3 Cá(c tí(nh nă?ng bả)n mà mộ t phầ#n mề#m thiế t kế mạch in đá(p ứ(ng: Tính năng: Tạo hì nh dạng kí Cho phé(p nhậ p thư v Xoay, lậ t linh kiệ n Tài liệu ôn tập – xxxx – 2022 CLB Điện – Đ ện Tử GTS Kiể0m tra cá(c xung độ t kiệ n; khoả)ng cá(ch tố i châ n đấ t đấ u vớ(i Tự độ ng chạy đườ ng m * Vẽ sơ đồ#nguyê n lý(: Có(thể0dễ dà ng về#đượ c cá(c sơ đồ#mạch điệ n tử) trê n Proteus mộ t cá(ch dễ dà ng nhanh chó(ng Ban lấ y linh kiê n mong mu ố n t th vi ệ n c ủ)a Proteus, sau đó(kế t nố i cá(c linh kiệ n lại vớ(i để0tạo m ộ t m ạch ệ n t ử)hoà n chỉ)nh Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn * Mô phỏ)ng: Khả)nă?ng ứ(ng dụng chí(nh củ)a Proteus mơ phỏ)ng, phâ n tí(ch cá(c kế t quả)từ cá(c mạch nguyê n lý( Proteus giú(p ngườ i sử)dụ ng có(th ể0th ấ y tr ướ(c đượ c mạch thiế t kế chạy đú(ng hay sai trướ(c thi cô ng mạch Cá(c cô ng cụ phụ c vụ cho việ c phâ n tí(ch mạch có(độ chí(nh xá(c khá(cao vơ n kế đo điệ n á(p, ampe kế đo dò ng điệ n, má(y đao độ ng ký( Thiế t kế mạch in PCB: Là tí(nh nă?ng dễ sử)dụ ng Proteus Có(thể0tự tạo bả)n thi ế t k ế hoặ?c bắ t Proteus m hộ bạn Tự tạo bả)n thiế t kế rấ t dễ dà ng ch ỉ)c ầ#n b ạn đ ặ?t nh ữ ng chi tiế t o sơ đồ#và vẽ đườ ng mạch điệ n chạy qua Đừ ng lo l ắ ng v ề#vi ệ c vi ph ạm b ấ t kỳ quy tắ c thiế t kế nà o bở)i nó(sẽ tự độ ng phá(t hi ệ n l ỗ i Cò n n ế u mu ố n Proteus m thay bạn chỉ)cầ#n đặ?t cá(c chi tiế t o vị trí(t ương ứ(ng r ồ#i cho ch ạy tự độ ng Nó(sẽ vẽ cá(c cá(ch đặ?t đườ ng mạch l ựa b ả)n t ố t nh ấ t Và hi ệ n cò n có(mộ t tù y chỉ)nh nữ a “Auto placer”, nó( u cầ#u bạn xá(c l ậ p kí(ch th ướ(c b ả)ng bằUng cá(ch vẽ hì nh dá(ng kí(ch cỡ bả)n mạch Sau đó(, nó(t ự độ ng đặ?t cá(c chi ti ế t o khuô n Sau đó(tấ t cả)việ c bạn phả)i m lậ p sơ đồ#mạch Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Ngồ i ra, q(trì nh thiế t kế mạch in bạn cũ ng có(th ể0xem hì nh 3D Tí(nh nă?ng nà y rấ t hữ u í(ch, nó(cho phé(p b ạn thi ế t nh ữ ng board m ạch in đẹp theo mong muố n củ)a mì nh Bướ(c 1: Khở)i độ ng chương trì nh Proteus 1.4 Hướng dẫn cách sử dụng: Bạn chạy chương trì nh tượ ng Tài liệu ơn tập – xxxx – 2022 CLB Điện – Điện Tử GTS chọ n Windows >> Programs >> Proteus SauProfessionalkhiphầ#n.mề#m khở)i độ ng xong bạn thấ y phầ#n giao diệ n củ)a nó(như sau: Bướ(c 2: Tạo mớ(i mộ t dự á(n: Để0thực hiệ n tạo mớ(i dự á(n trê n phầ#n mề#m vẽ proteus mọ i ngườ i có(thể0 m theo cá(c bướ(c sau: Đầ#u tiê n, chú(ng ta click chuộ t o mụ c Mộ t bả)ng thao New project Lưutá(csẽ ý(là hiệ nkhơ ngra, ở)đượmụccxó(aNameđ i,bạở)nphí(acó(sau.thể0điề#n tê n củ)a project tù y thí(ch Tài liệu ơn tập – xxxx – 2022 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn - Bấm Browse để tạo thư mục lưu Người dùng tạo thư mục đâu máy tính, miễn thuận tiện cho việc tìm kiếm đ ược Tài liệu ôn tập – xxxx – 2022 CLB Điện – Điện Tử GTS Mộ t bả)ng mớ(i hiệ n r nhấ n chuộ t o Bướ(c 3: Mở)chương trì nh ISIS Professional: Next B ạn nhấp vào biểu tượng Schematic Capture công c ụ c giao di ện Proteus để mở chương trình ISIS Professional Sau chương trì nh ISIS đượ c mở)ra, mộ t vù ng m việ c vớ(i cá(c nú(t giao diệ n để0thiế t kế mạch xuấ t hiệ n hì nh bê n dướ(i Cá(c bạn lưu ý(trê n vù ng m việ c củ)a ISIS có(mộ t khung v ng mà u xanh, vẽ mạch bạn phả)i đả)m bả)o n phầ#n mạch bạn vẽ phả)i nằUm khung v ng nà y Bướ(c 4: Tạo phí(m tắ t proteus: Tài liệu ôn tập – xxxx – 2022 18 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Mạch in nhiề#u lớ(p hơn, dù ng thiế t bị cầ#n tiế t kiệ m khô ng gian Mạch in nă?m lớ(p, tương đương vớ(i é(p hai loại kể0trê n, có(ba lớ(p đồ# i lớ(p cá (c h điệ n, dù ng cá (c hệ ph ứ(c tạ p ca o nh , Mạnhânch in hai lớ(p có(mộ t mặ?t đồ#ng, dù ng phổ0biế n ở) chuộ t má(y tí(nh, cá(c thiế t bị â m dâ n dụng, cá(c điề#u khiể0n quạt, lị vi só(ng, Tài liệu ơn tập – xxxx – 2022 19 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn H #u hết cá(c ph #n mề#m thiết kếm ch in hi n làm vi c )môi tr ng MS Windows T i cá(c hãng chết o má(y l (n,máy nh tính thiếtcánhânkếbo mạch chủ)cho má(y điệ n tố(n, điệ n thoại thơ ng minh, ph #n mề#m làm vi c )cá(c máy tính trạm Tài liệu ôn tập – xxxx – 2022 20 CLB Điện – Điện Tử GTS Tài liệu ôn tập – xxxx – 2022 www.hocthatlamthat.edu.vn 21 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn CHƯƠNG GIẢI VÀ THIẾT KẾ MẠCH Đề#bà i: 5.23- Thiế t kế mạch điế m song song JK-FF ( xung clock cạnh xu ố ng ) vớ(i yê u cầ#u khô ng sử)dụ ng dã y đế m đượ c đưa về#trạng thá(i 111 ở)xung clock kế tiế p 2.1 Giải mạch Bả)ng kí(ch thí(ch củ)a JK-FF Q 0 1 Bảng trạng thái hoạt động đếm Q2 Q1 0 0 1 1 1 1 Lập bìa k cho hàm ngõ vào Tài liệu ôn tập – xxxx – 2022 22 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn J Q Q J 2=Q0 K Q Q K 2=Q1 Q0 J Q Q J 1=Q0 +Q0=1 K Q 1 Tài liệu ôn tập – xxxx – 2022 23 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn Q 0 X X K 2=Q0 J Q Q J 0=Q1 K Q Q K 0=Q1 Tài liệu ôn tập – xxxx – 2022 24 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn 2.2 Mô phần mềm Proteus Tài liệu ôn tập – xxxx – 2022 25 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn 2.3 Mô phần mềm Max Plus II: MÃ code library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Hendra Kesuma Tài liệu ôn tập – xxxx – 2022 26 CLB Điện – Điện Tử GTS www.hocthatlamthat.edu.vn entity ent_JK_FF is Port ( J : in std_logic; K : in std_logic; CLK: in std_logic; RN : in std_logic; Q : out std_logic; QN : out std_logic); end ent_JK_FF; architecture arch_JK_FF of ent_JK_FF is signal FF : std_logic := '0'; begin process(CLK, RN) variable Temp_variable : std_logic_vector (1 downto 0); begin if RN = '0' then Q

Ngày đăng: 02/12/2022, 08:35

Hình ảnh liên quan

CHƯƠNG 2. G II VÀ THI KM CH Ạ - (TIỂU LUẬN) báo cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

2..

G II VÀ THI KM CH Ạ Xem tại trang 28 của tài liệu.
Bảng trạng thái hoạt động của bộ đếm - (TIỂU LUẬN) báo cáo đồ án môn học đề tài thiết kế, mô phỏng bài tập 5 23 trên phần mềm proteus, max plus II

Bảng tr.

ạng thái hoạt động của bộ đếm Xem tại trang 28 của tài liệu.

Tài liệu cùng người dùng

Tài liệu liên quan