1. Trang chủ
  2. » Luận Văn - Báo Cáo

Nghiên cứu thiết kế modul giảng dạy FPGA luận văn thạc sĩ

110 12 0

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

THÔNG TIN TÀI LIỆU

Cấu trúc

  • MỤC LỤC

  • LỜI NÓI ĐẦU

  • CHƯƠNG I

  • CHƯƠNG II

  • CHƯƠNG III

  • CHƯƠNG IV

  • KẾT LUẬN

  • TÀI LIỆU THAM KHẢO

  • PHỤ LỤC

Nội dung

Nghiên cứu thiết kế modul giảng dạy FPGA luận văn thạc sĩ Nghiên cứu thiết kế modul giảng dạy FPGA luận văn thạc sĩ Nghiên cứu thiết kế modul giảng dạy FPGA luận văn thạc sĩ luận văn tốt nghiệp,luận văn thạc sĩ, luận văn cao học, luận văn đại học, luận án tiến sĩ, đồ án tốt nghiệp luận văn tốt nghiệp,luận văn thạc sĩ, luận văn cao học, luận văn đại học, luận án tiến sĩ, đồ án tốt nghiệp

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI BỒ QUỐC BẢO NGHIÊN CỨU MODUL GIẢNG DẠY FPGA LUẬN VĂN THẠC SĨ NGÀNH XỬ LÝ THÔNG TIN VÀ TRUYỀN THÔNG Hà Nội, 2005 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC BÁCH KHOA HÀ NỘI BỒ QUỐC BẢO NGHIÊN CỨU MODUL GIẢNG DẠY FPGA LUẬN VĂN THẠC SĨ NGÀNH XỬ LÝ THÔNG TIN VÀ TRUYỀN THÔNG NGƯỜI HƯỚNG DẪN: TS NGUYỄN LINH GIANG Hà Nội, 2005 Bå Qc B¶o Líp CH XLTT&TT 2003 -2- Lêi cam đoan Tôi xin cam đoan luận văn kết nghiên cứu thân h­íng dÉn cđa TS Ngun Linh Giang NÕu cã g× sai phạm, xin hoàn toàn chịu trách nhiệm Người làm cam đoan Bồ Quốc Bảo Bồ Quốc Bảo -3- Líp CH XLTT&TT 2003 Mơc lơc Lêi cam ®oan Môc lôc Danh mục hình vẽ Lời nói đầu Ch­¬ng Tổng quan ASIC lập trình 1.1 Tỉng quan vỊ công nghệ 1.2 Sự đời công nghÖ AsiC 1.3 Các hÃng ứng dụng công nghệ ASIC 10 1.4 C¸c h­íng tiÕp cËn thiÕt kÕ ASIC 11 1.5 Đầu vào thiÕt kÕ ASIC 14 1.6 Tỉng hỵp logic 23 1.7 Các công cụ thiết kế phát triÓn ASIC 24 Chương Xilinx sản phẩm FPGA 28 2.1 Giíi thiƯu vỊ c«ng ty Xilinx 28 2.2 Các dòng sản phẩm chÝnh cña Xilinx 29 2.3 Giíi thiƯu s¶n phÈm Spartan II cđa Xillinx 31 2.4 Các tiêu kỹ thuật chi tiết Spartan II 52 Ch­¬ng Các ngôn ngữ mô tả phần cứng HDL 56 3.1 VHDL 56 3.2 Ngôn ngữ mô tả phần cứng ABEL 64 3.3 Ngôn ngữ Schematic Editor 70 Ch­¬ng ThiÕt kÕ modul giảng dạy sử dụng FPGA XC2S100 80 4.1 Mục đích yêu cầu thiết kế 80 4.2 Nh÷ng yêu cầu phần mềm phần cứng thiết kế mạch báo chuông 82 4.3 Trình tự thiết kÕ víi FPGA 85 4.4 Trình tự thiết kế mạch chức 86 4.5 Mạch báo chuông 94 KÕt luËn 97 Tµi liƯu tham kh¶o 98 Phô lôc 99 Bå Qc B¶o -4- Líp CH XLTT&TT 2003 Danh mục hình vẽ Tên hình Hình 1.1: Một phần thiết kế ASIC Hình 1.2: Sơ đồ khối PROM Hình 1.3: Sơ đồ khối PAL Hình 1.4: Sơ đồ khối PLA Hình 1.5: Cấu tróc chung cđa mét EPLD H×nh 1.6: CÊu tróc cđa mét macrocell H×nh 1.7: Mét CPLD cđa Xilinx H×nh 2.1: CÊu tróc FPGA cđa Xilinx H×nh 2.2: CÊu tróc chung FPGA Hình 2.3: Một CLB điển hình FPGA dòng Spartan II Hình 2.4: Sơ đồ khối chức Flip-Flop CLB Hình 2.5: Ghép nối tín hịêu điều khiển Hình 2.6: Sơ đồ khối IOB Hình 2.7: Sơ đồ khối chức IOB Hình 2.8: OAND2 OMUX2 Hình 2.9: Sơ đồ khối chung kênh kết nối CLB Hình 2.10 Ma trận kết nối FPGA Hình 2.11: Phân bố mạng tổng thể Spartan Hình 2.12: Sơ đồ logic RAM cổng đơn Hình 2.13: Sơ đồ logic RAM cổng kÐp H×nh 2.14: Chi tiÕt mét logic nhí cđa Spartan Hình 2.15: Bộ đệm trạng thái thực phân kênh Hình 2.16: Sơ đồ logic quét biên dòng Spartan Hình 2.17: Sơ đồ mạch Master Slave Hình 3.1: Các bước thiết kế VHDL Bồ Quốc Bảo -5- Hình 3.2: Các thực thể VHDL cấu trúc Hình 3.3: Cấu trúc file chương trình VHDL Hình 3.4: Cấu trúc module ABEL Hình 3.5: Sơ đồ khối mạch Hình 3.5: Sơ đồ khối mạch Hình 3.6: Các dấu chấm mở rộng ABEL Hình 3.7: Bộ cộng bit Hình 3.7: Sơ đồ cộng bit Hình 4.1: Sơ đồ khối mạch báo chuông Hình 4.2: Hình ảnh thực tế kit XSA-100 Board V1.0 Hình 4.3: Sơ đồ nguyên lý XSA-100 Board V1.0 H×nh 4.4: Tr×nh tù thiÕt kÕ víi FPGA H×nh 4.5: Mạch đa hài đợi tạo dao động âm tần Lớp CH XLTT&TT 2003 Bå Qc B¶o -6- Líp CH XLTT&TT 2003 Lời nói đầu Cùng với phát triển khoa học kỹ thuật, việc tự động hoá thiết kế mạch điện tử đóng vai trò quan trọng việc thúc đẩy phát triển kỹ thuật tính toán Từ năm 50 kỷ trước, trình phát triển kỹ thuật điện tử đà trải qua nhiều giai đoạn: từ bóng đèn điện tử đến bóng bán dẫn, qua mạch tích hợp nhỏ tới mạch với độ tích hợp lớn siêu lớn Những mạch tích hợp loại chứa hàng triệu linh kiện bán dẫn mạch Ví dụ điển hình vi xử lý Việc thiết kế mạch có độ tích hợp lớn siêu lớn thực cách thủ công, mà phải có giúp đỡ máy tính Với mục đích không ngừng đáp ứng nhu cầu xà hội, môn học thiết kế hệ thống số đà đưa vào giảng dạy trường Cao đẳng Đại học, việc tiếp cận môn học tương đối khó trừu tượng, để môn học trực quan dễ tiếp cận việc thực hành lập trình số cần thiết Một công nghệ ®êi, cã thĨ thay thÕ cho c¸c hƯ thèng sè trước đòi hỏi nhiều thời gian chi phí cho nghiên cứu chế tạo, công nghệ ASIC (Application Specific Integrated Circuit) Dẫn đầu lĩnh vực sản phẩm FPGA (Field Programmable Gate Array) CPLD (Complex Programmable Logic Devices) công ty Xilinx Sử dụng FPGA CPLD tối thiểu hóa nhiều công đoạn thiết kế, lắp ráp hầu hết thực máy tính Các ngôn ngữ mô phần cứng (HDL: Hardware Description Languages) ABEL, VHDL, Verilog, Schematic cho phép thiết kế mô hoạt động mạch chương trình Các chương trình mô cho phép xác định lỗi thiết kế cách dễ dàng kết thực chương trình file bit cấu hình (bitstream) để nạp (download) vào FPGA CPLD để hoạt động giống mạch logic Bồ Quốc Bảo -7- Líp CH XLTT&TT 2003 Việc nghiên cứu thiết kế modul thí nghiệm FPGA để giúp em học sinh-sinh viên nói chung sinh viên trường Cao đẳng Cơng nghiệp nói riêng tiếp cận sử dụng công nghệ mới, đáp ứng nhu cầu xã hội trường công việc vô cần thiết cấp bách Víi sù h­íng dÉn cđa TS Nguyễn Linh Giang, khuôn khổ luận văn này, mạnh dạn tìm hiểu nghiên cứu công nghệ công ty Xilinx Qua nghiên cứu tìm hiểu thiết bị lập trình Xilinx, sử dụng chip XC2S100 dòng Spartan II thiết kế số mạch đơn giản, sở mạch để thiết kế modul giảng dạy cho sinh viên trường Cao đẳng Công nghiệp Hà nội mạch báo chuông cho lớp học Nội dung luận văn bao gồm chương, đó: Chương 1: Giới thiệu tổng quan ASIC lập trình Chương 2: Giới thiệu hÃng Xilinx sản phẩm FPGA Chương Giới thiệu số ngôn ngữ mô tả phần cứng HDL Chương Thiết kế modul giảng dạy sử dụng FPGA XC2S100-Spartan II Do thời gian khả có hạn nên luận văn nhiều thiếu sót Rất mong góp ý thông cảm thầy giáo, cô giáo Hà nội, ngày 20 tháng 10 năm 2005 Học viên Bồ Quốc Bảo Bồ Quốc Bảo -8- Lớp CH XLTT&TT 2003 Chương Tổng quan ASIC lập trình 1.1 tổng quan công nghệ Nền công nghiệp bán dẫn đà phát triển từ IC vào khoảng năm đầu năm 1970 đà trưởng thành nhanh chãng tõ ®ã Mét ®iỊu quan träng viƯc thiết kế cần phải nghiên cứu phương thức thùc hiƯn mét c¸ch cÈn thËn tr­íc C¸c b­íc tiÕp theo cần làm suốt trình thiết kế phụ thuộc hoàn toàn vào phương thức thực mà lựa chọn Sự phức tạp hệ thống tăng lên cho kết mạch tích hợp mức cao microchip Có mức tích hợp nh­ sau: - SSI (Small Scale Integration), nã chøa nhiÒu cổng độc lập gói đơn, thông thường số cổng không vượt 10 cổng - MSI (Medium Scale Integration) có độ phức tạp cao khoảng từ 10 đến 100 cổng gói đơn - LSI (Large Scale Integration) có khoảng từ 100 đến vài nghìn cổng gói đơn - VLSI (Very Large Scale Integration) chứa từ vài nghìn cổng đến hàng triệu cổng gói đơn Ngoài ra, IC phân chia theo chức mà thực hiện, chức phù hợp với thị trường ảnh hưởng tới giá thành thiết bị: - Thông thường (General): thiết bị phục vụ cho mục đích thông thường - ASIC (Application Specific IC): sử dụng chip đại, điều khiển nhúng với mục đích thiết kế đáp ứng nhu cầu người sử dụng Bồ Quốc Bảo -9- Lớp CH XLTT&TT 2003 1.2 Sự đời công nghệ AsiC Nhằm cải thiện tính linh hoạt IC nâng cao chất lượng ngành công nghiệp sản xuất IC, IEEE đà tổ chức hội thảo IC theo đơn đặt hàng CICC (Custom Integrated Circuit Conference) Theo đó, có nhiều kiểu IC dạng theo đơn đặt hàng khác đưa nhằm giải cho nhiều mục đích ứng dụng cụ thể chuyên biệt Từ đây, xuất thuật ngữ thức sử dụng là: Application - Specific IC, hay viết tắt ASIC Hiện nay, IEEE thường xuyên tổ chức định kỳ hội thảo cấp quốc tế ASIC, nhằm điều chỉnh nâng cao ưu điểm tính thuận tiện đưa công nghệ tiên tiến hÃng sản xuất ASIC khác phân loại chúng thành nhiều chủng loại IC theo đơn đặt hàng Mặc dù để định nghĩa xác ASIC khó, song coi thuật ngữ đà công nhận thức ngành công nghiệp IC Định nghĩa tiÕng Anh cđa tht ng÷ ASIC theo IEEE 12/1999 nh­ sau: “ASIC stands for Application Specific Integrated Circuits An ASIC is custom tailored IC that perfoms a specific task for specific application- tạm dịch ASIC viết tắt từ Application Specific Integrated Circuits, nghĩa mạch tích hợp ứng dụng chuyên biệt - ngắn gọn IC chuyên dụng Tuy nhiên, nhiều nhà ASIC học quen gọi IC dùng cho mục đích khách hàng IC thiết kế đặc biệt theo yêu cầu khách hàng Ví dụ IC ASIC theo yêu cầu bao gồm loại sau: chip nhớ ROM, DRAM, SRAM; phận xử lý, IC họ TTL tương đương theo công nghệ SSI, MSI LSI Còn IC thuộc dòng ASIC bao gồm: chíp dùng công nghiệp giải trí; chíp dùng công nghiệp vệ tinh, vũ trụ, chíp thiết kế dùng để giao tiếp vi xử lý CPU máy trạm làm việc chip chứa vi xử lý bên với phần tử logic khác Theo quy tắc chung, IC ASIC, có trường hợp ngoại lệ Ví dụ, IC chip điều khiển PC IC chip điều khiển MODEM Cả hai IC ứng dụng chuyên biệt (giống ASIC) hai có số điểm khác sử dụng vào hệ thống khác Do vậy, người ta chia họ ASIC thành ba thành viên chính: Cell Based ICs Bồ Quốc Bảo Mặt sau mạch in: Lớp -96CH XLTT&TT 2003 Bồ Quốc Bảo -97- Líp CH XLTT&TT 2003 KÕt luËn Sau thêi gian nghiên cứu tìm hiểu thiết bị logic lập trình được, cụ thể thiết bị FPGA hÃng Xilinx, đà đạt số kết sau: - Nắm phương pháp thiết kế cho mạch logic lập trình - Có kỹ sử dụng ngôn ngữ mô tả phần cứng HDL, cụ thể ngôn ngữ VHDL Schematic - Tìm hiểu cấu trúc, nguyên lý hoạt động thiết bÞ FPGA, sư dơng FPGA XC2S100 – Hä Spartan II làm đối tượng nghiên cứu cụ thể - Thiết kế thành công mạch đếm, giải mà mạch báo chuông cho lớp học dùng FPGA XC2S100 Với mục đích nghiên cứu tìm hiểu để thiết kế module phục vụ công tác giảng dạy nên sản phẩm thiết kế dừng vài ứng dụng nhỏ Tuy nhiên với kết đà đạt thời gian nghiên cứu vừa qua, nhận thấy hoàn toàn có khả làm chủ thiết bị FPGA CPLD Xilinx thiết bị logic lập trình hÃng khác Hy vọng luận văn giúp ích phần cho người bắt đầu tìm hiểu lĩnh vực Tôi xin chân thành cảm ơn: TS Nguyễn Linh Giang, người đà trực tiếp hướng dẫn giúp đỡ thời gian làm luận văn Trung tâm Đào tạo Bồi dưỡng Sau Đại học - Trường Đại học Bách khoa Hà Nội; thầy cô giáo Khoa Điện tử_TĐH, trường CĐCN HN, đà giúp đỡ mặt thời gian, thiết bị động viên lớn bạn bè người thân mặt tinh thần thời gian thực đề tài Bồ Quốc Bảo -98- Lớp CH XLTT&TT 2003 Tài liệu tham khảo TS Đặng Văn Chuyết (1996), Kỹ thuật điện tư sè– NXB Khoa häc kü tht TS Ngun Linh Giang (2003), Thiết kế mạch máy tính, NXB Khoa häc kü thuËt Charles H.Roth Jr (1999), Digital Systems Design Using VHDL, The University of Texas at Austin, PWS Publising Company Digital Design Theory and Practical (2000), Prentical Hall J.Mirkowski & M.Kapustka, EVITA – Enhanced VHDL Tutorial with Application, Evita Leaders The Programmable Logic Databook (1999) The Practical Xilinx Designer Labbook (1988), Prentical Hall Xilinx Corp, WebPack 6.1 book Bå Quèc B¶o -99- Lớp CH XLTT&TT 2003 Phụ lục Chương trình VHDL thực mạch chức Mạch chia xung clock (clk_div) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; Uncomment the following lines to use the declarations that are provided for instantiating Xilinx primitive components library UNISIM; use UNISIM.VComponents.all; entity clk_div is Port (clk_in : in std_logic; clk_out1 : out std_logic; clk_out2 : out std_logic; clk_out3 : out std_logic); Bå Qc B¶o -100- Líp CH XLTT&TT 2003 end clk_div; architecture Behavioral of clk_div is signal cnt2 : std_logic_vector(18 downto 0):= "0000000000000000000"; signal cnt3 : std_logic_vector(19 downto 0):= "00000000000000000000"; signal cnt1 : std_logic_vector(22 downto 0):= "00000000000000000000000"; begin clock for counter 24h -process(clk_in) begin if rising_edge(clk_in) then cnt1

Ngày đăng: 12/02/2021, 15:49

TỪ KHÓA LIÊN QUAN

TÀI LIỆU CÙNG NGƯỜI DÙNG

  • Đang cập nhật ...

TÀI LIỆU LIÊN QUAN